sensors-logo

Journal Browser

Journal Browser

Special issue on the 2019 International Image Sensor Workshop (IISW2019)

A special issue of Sensors (ISSN 1424-8220).

Deadline for manuscript submissions: closed (31 October 2019) | Viewed by 101875

Special Issue Editors

KU Leuven, Belgium & Photolitics, Bulgaria
Interests: CMOS image sensors, global shutter pixels, high frame rate image sensors, read noise, large area imagers, high dynamic range imaging, ADCs for image sensors, miniature camera modules, indirect time-of-flight pixels
Omnivision Technologies Norway AS, Gaustadalleen 21, 0349 Oslo, Norway
Interests: CMOS image sensors; global shutter pixels; high frame rate image sensors; read noise; large area imagers; high dynamic range imaging; ADCs for image sensors; miniature camera modules; indirect time-of-flight pixels; low-power design; embedded safety functions (ASIL); image signal processing (ISP)
Special Issues, Collections and Topics in MDPI journals
Graduate School of Engineering, Tohoku University, 6-6-11-811, Aza-Aoba, Aramaki, Aoba-ku, Sendai, Miyagi 980-8579, Japan
Interests: CMOS image sensors, high frame rate image sensors, high dynamic range image sensors, spectral imaging technology, single photon sensitivity sensors, low-power image sensors, pixel technology, characterization, process and manufacturing technology, packaging
2185 Horizon Run, The Villages, FL 32162, USA
Interests: CMOS image sensors; global shutter pixels; read noise; high dynamic range imaging; dark current; manufacturing processes; 3D integration architectures

Special Issue Information

Dear Colleagues,

The International Image Sensor Workshop (IISW) is the world’s largest technology forum fully devoted to solid-state image sensors. The scope of the workshop includes all aspects of electronic image sensor research, design, and development. The workshop papers span across a wide range of imaging devices and research topics: pixel physics, image sensor design and performance, application-specific imagers, manufacturing techniques such as wafer stacking and backside illumination, and on-chip optics. Applications include consumer mobile image sensor devices, large format devices for X-ray and astronomy, time-resolving and photon-counting imagers, and radiation-hardened image sensors.

This Special Issue provides the expanded versions of 20 invited papers from the 2019 workshop covering novel and innovative approaches in image sensors, as well as state-of-the-art incremental improvements on known techniques.

Other paper submissions are strictly limited to IISW 2019 participants.

Dr. Guy Meynants
Dr. Johannes Solhusvik
Prof. Dr. Rihito Kuroda
Mr. R. Michael Guidash
Guest Editors

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Sensors is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2600 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Published Papers (15 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Research

17 pages, 5388 KiB  
Article
Automotive 3.0 µm Pixel High Dynamic Range Sensor with LED Flicker Mitigation
by Minseok Oh, Sergey Velichko, Scott Johnson, Michael Guidash, Hung-Chih Chang, Daniel Tekleab, Bob Gravelle, Steve Nicholes, Maheedhar Suryadevara, Dave Collins, Rick Mauritzson, Lin Lin, Shaheen Amanullah and Manuel Innocent
Sensors 2020, 20(5), 1390; https://doi.org/10.3390/s20051390 - 04 Mar 2020
Cited by 4 | Viewed by 6522
Abstract
We present and discuss parameters of a high dynamic range (HDR) image sensor with LED flicker mitigation (LFM) operating in automotive temperature range. The total SNR (SNR including dark fixed pattern noise), of the sensor is degraded by floating diffusion (FD) dark current [...] Read more.
We present and discuss parameters of a high dynamic range (HDR) image sensor with LED flicker mitigation (LFM) operating in automotive temperature range. The total SNR (SNR including dark fixed pattern noise), of the sensor is degraded by floating diffusion (FD) dark current (DC) and dark signal non-uniformity (DSNU). We present results of FD DC and DSNU reduction, to provide required SNR versus signal level at temperatures up to 120 °C. Additionally we discuss temperature dependencies of quantum efficiency (QE), sensitivity, color effects, and other pixel parameters for backside illuminated image sensors. Comparing +120 °C junction vs. room temperature, in visual range we measured a few relative percent increase, while in 940 nm band range we measured 1.46x increase in sensitivity. Measured change of sensitivity for visual bands—such as blue, green, and red colors—reflected some impact to captured image color accuracy that created slight image color tint at high temperature. The tint is, however, hard to detect visually and may be removed by auto white balancing and temperature adjusted color correction matrixes. Full article
Show Figures

Figure 1

15 pages, 3397 KiB  
Article
Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with Pixel-wise Trench Capacitor Memory Array
by Manabu Suzuki, Yuki Sugama, Rihito Kuroda and Shigetoshi Sugawa
Sensors 2020, 20(4), 1086; https://doi.org/10.3390/s20041086 - 17 Feb 2020
Cited by 19 | Viewed by 5423
Abstract
In this paper, a prototype ultra-high speed global shutter complementary metal-oxide-semiconductor (CMOS) image sensor with pixel-wise trench capacitor memory array achieving over 100 million frames per second (fps) with up to 368 record length by burst correlated double sampling (CDS) operation is presented. [...] Read more.
In this paper, a prototype ultra-high speed global shutter complementary metal-oxide-semiconductor (CMOS) image sensor with pixel-wise trench capacitor memory array achieving over 100 million frames per second (fps) with up to 368 record length by burst correlated double sampling (CDS) operation is presented. Over 100 Mfps high frame rate is obtained by reduction of pixel output load by the pixel-wise memory array architecture and introduction of the burst CDS operation which minimizes the pixel driving pulse transitions. Long record length is realized by high density analog memory integration with Si trench capacitors. A maximum 125 Mfps frame rate with up to 368 record length video capturing was confirmed under room temperature without any cooling system. The photoelectric conversion characteristics of the burst CDS operation were measured and compared with those of the conventional CDS operation. Full article
Show Figures

Figure 1

16 pages, 5139 KiB  
Article
An 8-Tap CMOS Lock-In Pixel Image Sensor for Short-Pulse Time-of-Flight Measurements
by Yuya Shirakawa, Keita Yasutomi, Keiichiro Kagawa, Satoshi Aoyama and Shoji Kawahito
Sensors 2020, 20(4), 1040; https://doi.org/10.3390/s20041040 - 14 Feb 2020
Cited by 28 | Viewed by 6303
Abstract
An 8-tap CMOS lock-in pixel image sensor that has seven carrier-capturing and a draining time window was developed for short-pulse time-of-flight (TOF) measurements. The proposed pixel for the short-pulse TOF measurements has seven consecutive time-gating windows, each of which has the width of [...] Read more.
An 8-tap CMOS lock-in pixel image sensor that has seven carrier-capturing and a draining time window was developed for short-pulse time-of-flight (TOF) measurements. The proposed pixel for the short-pulse TOF measurements has seven consecutive time-gating windows, each of which has the width of 6 ns, which is advantageous for high-resolution range imaging, particularly for relatively longer distances (>5 m) and under high ambient light operations. In order to enhance the depth resolution, a technique for the depth-adaptive time-gating-number assignment (DATA) for the short-pulse TOF measurement is proposed. A prototype of the 8-tap CMOS lock-in pixel image sensor is implemented with a 1POLY 4METAL 0.11-μm CIS process. The maximum non-linearity error of 1.56%FS for the range of 1–6.4 m and the depth resolution of 6.4 mm was obtained at 6.2 m using the DATA technique. Full article
Show Figures

Figure 1

8 pages, 2751 KiB  
Article
Fully Depleted, Trench-Pinned Photo Gate for CMOS Image Sensor Applications
by Francois Roy, Andrej Suler, Thomas Dalleau, Romain Duru, Daniel Benoit, Jihane Arnaud, Yvon Cazaux, Catherine Chaton, Laurent Montes, Panagiota Morfouli and Guo-Neng Lu
Sensors 2020, 20(3), 727; https://doi.org/10.3390/s20030727 - 28 Jan 2020
Cited by 6 | Viewed by 7540
Abstract
Tackling issues of implantation-caused defects and contamination, this paper presents a new complementary metal–oxide–semiconductor (CMOS) image sensor (CIS) pixel design concept based on a native epitaxial layer for photon detection, charge storage, and charge transfer to the sensing node. To prove this concept, [...] Read more.
Tackling issues of implantation-caused defects and contamination, this paper presents a new complementary metal–oxide–semiconductor (CMOS) image sensor (CIS) pixel design concept based on a native epitaxial layer for photon detection, charge storage, and charge transfer to the sensing node. To prove this concept, a backside illumination (BSI), p-type, 2-µm-pitch pixel was designed. It integrates a vertical pinned photo gate (PPG), a buried vertical transfer gate (TG), sidewall capacitive deep trench isolation (CDTI), and backside oxide–nitride–oxide (ONO) stack. The designed pixel was fabricated with variations of key parameters for optimization. Testing results showed the following achievements: 13,000 h+ full-well capacity with no lag for charge transfer, 80% quantum efficiency (QE) at 550-nm wavelength, 5 h+/s dark current at 60 °C, 2 h+ temporal noise floor, and 75 dB dynamic range. In comparison with conventional pixel design, the proposed concept could improve CIS performance. Full article
Show Figures

Figure 1

13 pages, 5660 KiB  
Article
A Stacked Back Side-Illuminated Voltage Domain Global Shutter CMOS Image Sensor with a 4.0 μm Multiple Gain Readout Pixel
by Ken Miyauchi, Kazuya Mori, Toshinori Otaka, Toshiyuki Isozaki, Naoto Yasuda, Alex Tsai, Yusuke Sawai, Hideki Owada, Isao Takayanagi and Junichi Nakamura
Sensors 2020, 20(2), 486; https://doi.org/10.3390/s20020486 - 15 Jan 2020
Cited by 9 | Viewed by 8932
Abstract
A backside-illuminated complementary metal-oxide-semiconductor (CMOS) image sensor with 4.0 μm voltage domain global shutter (GS) pixels has been fabricated in a 45 nm/65 nm stacked CMOS process as a proof-of-concept vehicle. The pixel components for the photon-to-voltage conversion are formed on the top [...] Read more.
A backside-illuminated complementary metal-oxide-semiconductor (CMOS) image sensor with 4.0 μm voltage domain global shutter (GS) pixels has been fabricated in a 45 nm/65 nm stacked CMOS process as a proof-of-concept vehicle. The pixel components for the photon-to-voltage conversion are formed on the top substrate (the first layer). Each voltage signal from the first layer pixel is stored in the sample-and-hold capacitors on the bottom substrate (the second layer) via micro-bump interconnection to achieve a voltage domain GS function. The two sets of voltage domain storage capacitor per pixel enable a multiple gain readout to realize single exposure high dynamic range (SEHDR) in the GS operation. As a result, an 80dB SEHDR GS operation without rolling shutter distortions and motion artifacts has been achieved. Additionally, less than −140dB parasitic light sensitivity, small noise floor, high sensitivity and good angular response have been achieved. Full article
Show Figures

Figure 1

11 pages, 3792 KiB  
Article
Photon-Detection-Probability Simulation Method for CMOS Single-Photon Avalanche Diodes
by Chin-An Hsieh, Chia-Ming Tsai, Bing-Yue Tsui, Bo-Jen Hsiao and Sheng-Di Lin
Sensors 2020, 20(2), 436; https://doi.org/10.3390/s20020436 - 13 Jan 2020
Cited by 21 | Viewed by 5226
Abstract
Single-photon avalanche diodes (SPADs) in complementary metal-oxide-semiconductor (CMOS) technology have excellent timing resolution and are capable to detect single photons. The most important indicator for its sensitivity, photon-detection probability (PDP), defines the probability of a successful detection for a single incident photon. To [...] Read more.
Single-photon avalanche diodes (SPADs) in complementary metal-oxide-semiconductor (CMOS) technology have excellent timing resolution and are capable to detect single photons. The most important indicator for its sensitivity, photon-detection probability (PDP), defines the probability of a successful detection for a single incident photon. To optimize PDP is a cost- and time-consuming task due to the complicated and expensive CMOS process. In this work, we have developed a simulation procedure to predict the PDP without any fitting parameter. With the given process parameters, our method combines the process, the electrical, and the optical simulations in commercially available software and the calculation of breakdown trigger probability. The simulation results have been compared with the experimental data conducted in an 800-nm CMOS technology and obtained a good consistence at the wavelength longer than 600 nm. The possible reasons for the disagreement at the short wavelength have been discussed. Our work provides an effective way to optimize the PDP of a SPAD prior to its fabrication. Full article
Show Figures

Figure 1

16 pages, 6665 KiB  
Article
A High-Performance 2.5 μm Charge Domain Global Shutter Pixel and Near Infrared Enhancement with Light Pipe Technology
by Ikuo Mizuno, Masafumi Tsutsui, Toshifumi Yokoyama, Tatsuya Hirata, Yoshiaki Nishi, Dmitry Veinger, Adi Birman and Assaf Lahav
Sensors 2020, 20(1), 307; https://doi.org/10.3390/s20010307 - 06 Jan 2020
Cited by 12 | Viewed by 6677
Abstract
We developed a new 2.5 μm global shutter (GS) pixel using a 65 nm process with an advanced light pipe (LP) structure. This is the world’s smallest charge domain GS pixel reported so far. This new developed pixel platform is a key enabler [...] Read more.
We developed a new 2.5 μm global shutter (GS) pixel using a 65 nm process with an advanced light pipe (LP) structure. This is the world’s smallest charge domain GS pixel reported so far. This new developed pixel platform is a key enabler for ultra-high resolution sensors, industrial cameras with wide aperture lenses, and low form factors optical modules for mobile applications. The 2.5 μm GS pixel showed excellent optical performances: 68% quantum efficiency (QE) at 530 nm, ±12.5 degrees angular response (AR), and quite low parasitic light sensitivity (PLS)—10,400 1/PLS with the F#2.8 lens. In addition, we achieved an extremely low memory node (MN) dark current 13 e/s at 60 °C by fully pinned MN. Furthermore, we studied how the LP technology contributes to the improvement of the modulation transfer function (MTF) in near infrared (NIR) enhanced GS pixel. The 2.8 μm GS pixel using a p-substrate showed 109 lp/mm MTF@50% at 940 nm, which is 1.6 times better than that without an LP. The MTF can be more enhanced by the combination of the LP and the deep photodiode (PD) electrically isolated from the substrate. We demonstrated the advantage of using LP technology and our advanced stacked deep photodiode (SDP) technology together. This unique combination showed an improvement of more than 100% in NIR QE while maintaining an MTF that is close to the theoretical Nyquist limit (MTF @50% = 156 lp/mm). Full article
Show Figures

Figure 1

14 pages, 4914 KiB  
Article
Electrical Characterization of the Backside Interface on BSI Global Shutter Pixels with Tungsten-Shield Test Structures on CDTI Process
by Célestin Doyen, Stéphane Ricq, Pierre Magnan, Olivier Marcelot, Marios Barlas and Sébastien Place
Sensors 2020, 20(1), 287; https://doi.org/10.3390/s20010287 - 04 Jan 2020
Viewed by 3748
Abstract
A new methodology is presented using well known electrical characterization techniques on dedicated single devices in order to investigate backside interface contribution to the measured pixel dark current in BSI CMOS image sensors technologies. Extractions of interface states and charges within the dielectric [...] Read more.
A new methodology is presented using well known electrical characterization techniques on dedicated single devices in order to investigate backside interface contribution to the measured pixel dark current in BSI CMOS image sensors technologies. Extractions of interface states and charges within the dielectric densities are achieved. The results show that, in our case, the density of state is not directly the source of dark current excursions. The quality of the passivation of the backside interface appears to be the key factor. Thanks to the presented new test structures, it has been demonstrated that the backside interface contribution to dark current can be investigated separately from other sources of dark current, such as the frontside interface, DTI (deep trench isolation), etc. Full article
Show Figures

Figure 1

16 pages, 6991 KiB  
Article
An Optical Filter-Less CMOS Image Sensor with Differential Spectral Response Pixels for Simultaneous UV-Selective and Visible Imaging
by Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda and Shigetoshi Sugawa
Sensors 2020, 20(1), 13; https://doi.org/10.3390/s20010013 - 18 Dec 2019
Cited by 6 | Viewed by 6221
Abstract
This paper presents a complementary metal-oxide-semiconductor (CMOS) image sensor (CIS) capable of capturing UV-selective and visible light images simultaneously by a single exposure and without employing optical filters, suitable for applications that require simultaneous UV and visible light imaging, or UV imaging in [...] Read more.
This paper presents a complementary metal-oxide-semiconductor (CMOS) image sensor (CIS) capable of capturing UV-selective and visible light images simultaneously by a single exposure and without employing optical filters, suitable for applications that require simultaneous UV and visible light imaging, or UV imaging in variable light environment. The developed CIS is composed by high and low UV sensitivity pixel types, arranged alternately in a checker pattern. Both pixel types were designed to have matching sensitivities for non-UV light. The UV-selective image is captured by extracting the differential spectral response between adjacent pixels, while the visible light image is captured simultaneously by the low UV sensitivity pixels. Also, to achieve high conversion gain and wide dynamic range simultaneously, the lateral overflow integration capacitor (LOFIC) technology was introduced in both pixel types. The developed CIS has a pixel pitch of 5.6 µm and exhibits 172 µV/e conversion gain, 131 ke full well capacity (FWC), and 92.3 dB dynamic range. The spectral sensitivity ranges of the high and low UV sensitivity pixels are of 200–750 nm and 390–750 nm, respectively. The resulting sensitivity range after the differential spectral response extraction is of 200–480 nm. This paper presents details regarding the CIS pixels structures, doping profiles, device simulations, and the measurement results for photoelectric response and spectral sensitivity for both pixel types. Also, sample images of UV-selective and visible spectral imaging using the developed CIS are presented. Full article
Show Figures

Figure 1

10 pages, 4479 KiB  
Article
A 120-ke Full-Well Capacity 160-µV/e Conversion Gain 2.8-µm Backside-Illuminated Pixel with a Lateral Overflow Integration Capacitor
by Isao Takayanagi, Ken Miyauchi, Shunsuke Okura, Kazuya Mori, Junichi Nakamura and Shigetoshi Sugawa
Sensors 2019, 19(24), 5572; https://doi.org/10.3390/s19245572 - 17 Dec 2019
Cited by 11 | Viewed by 6352
Abstract
In this paper, a prototype complementary metal-oxide-semiconductor (CMOS) image sensor with a 2.8-μm backside-illuminated (BSI) pixel with a lateral overflow integration capacitor (LOFIC) architecture is presented. The pixel was capable of a high conversion gain readout with 160 μV/e for low light [...] Read more.
In this paper, a prototype complementary metal-oxide-semiconductor (CMOS) image sensor with a 2.8-μm backside-illuminated (BSI) pixel with a lateral overflow integration capacitor (LOFIC) architecture is presented. The pixel was capable of a high conversion gain readout with 160 μV/e for low light signals while a large full-well capacity of 120 ke was obtained for high light signals. The combination of LOFIC and the BSI technology allowed for high optical performance without degradation caused by extra devices for the LOFIC structure. The sensor realized a 70% peak quantum efficiency with a normal (no anti-reflection coating) cover glass and a 91% angular response at ±20° incident light. This 2.8-μm pixel is potentially capable of higher than 100 dB dynamic range imaging in a pure single exposure operation. Full article
Show Figures

Figure 1

14 pages, 3341 KiB  
Article
Leakage Current Non-Uniformity and Random Telegraph Signals in CMOS Image Sensor Floating Diffusions Used for In-Pixel Charge Storage
by Alexandre Le Roch, Vincent Goiffon, Olivier Marcelot, Philippe Paillet, Federico Pace, Jean-Marc Belloir, Pierre Magnan and Cédric Virmontois
Sensors 2019, 19(24), 5550; https://doi.org/10.3390/s19245550 - 16 Dec 2019
Cited by 4 | Viewed by 5338
Abstract
The leakage current non-uniformity, as well as the leakage current random and discrete fluctuations sources, are investigated in pinned photodiode CMOS image sensor floating diffusions. Different bias configurations are studied to evaluate the electric field impacts on the FD leakage current. This study [...] Read more.
The leakage current non-uniformity, as well as the leakage current random and discrete fluctuations sources, are investigated in pinned photodiode CMOS image sensor floating diffusions. Different bias configurations are studied to evaluate the electric field impacts on the FD leakage current. This study points out that high magnitude electric field regions could explain the high floating diffusion leakage current non-uniformity and its fluctuation with time called random telegraph signal. Experimental results are completed with TCAD simulations allowing us to further understand the role of the electric field in the FD leakage current and to locate a high magnitude electric field region in the overlap region between the floating diffusion implantation and the transfer gate spacer. Full article
Show Figures

Figure 1

13 pages, 6892 KiB  
Article
CMOS Image Sensors and Plasma Processes: How PMD Nitride Charging Acts on the Dark Current
by Yolène Sacchettini, Jean-Pierre Carrère, Romain Duru, Jean-Pierre Oddou, Vincent Goiffon and Pierre Magnan
Sensors 2019, 19(24), 5534; https://doi.org/10.3390/s19245534 - 14 Dec 2019
Cited by 3 | Viewed by 6590
Abstract
Plasma processes are known to be prone to inducing damage by charging effects. For CMOS image sensors, this can lead to dark current degradation both in value and uniformity. An in-depth analysis, motivated by the different degrading behavior of two different plasma processes, [...] Read more.
Plasma processes are known to be prone to inducing damage by charging effects. For CMOS image sensors, this can lead to dark current degradation both in value and uniformity. An in-depth analysis, motivated by the different degrading behavior of two different plasma processes, has been performed in order to determine the degradation mechanisms associated with one plasma process. It is based on in situ plasma-induced charge characterization techniques for various dielectric stack structures (dielectric nature and stack configuration). A degradation mechanism is proposed, highlighting the role of ultraviolet (UV) light from the plasma in creating an electron hole which induces positive charges in the nitride layer at the wafer center, and negative ones at the edge. The trapped charges de-passivate the SiO2/Si interface by inducing a depleted interface above the photodiode, thus emphasizing the generation of dark current. A good correlation between the spatial distribution of the total charges and the value of dark current has been observed. Full article
Show Figures

Figure 1

27 pages, 7629 KiB  
Article
Modeling and Analysis of a Direct Time-of-Flight Sensor Architecture for LiDAR Applications
by Preethi Padmanabhan, Chao Zhang and Edoardo Charbon
Sensors 2019, 19(24), 5464; https://doi.org/10.3390/s19245464 - 11 Dec 2019
Cited by 43 | Viewed by 12442
Abstract
Direct time-of-flight (DTOF) is a prominent depth sensing method in light detection and ranging (LiDAR) applications. Single-photon avalanche diode (SPAD) arrays integrated in DTOF sensors have demonstrated excellent ranging and 3D imaging capabilities, making them promising candidates for LiDARs. However, high background noise [...] Read more.
Direct time-of-flight (DTOF) is a prominent depth sensing method in light detection and ranging (LiDAR) applications. Single-photon avalanche diode (SPAD) arrays integrated in DTOF sensors have demonstrated excellent ranging and 3D imaging capabilities, making them promising candidates for LiDARs. However, high background noise due to solar exposure limits their performance and degrades the signal-to-background noise ratio (SBR). Noise-filtering techniques based on coincidence detection and time-gating have been implemented to mitigate this challenge but 3D imaging of a wide dynamic range scene is an ongoing issue. In this paper, we propose a coincidence-based DTOF sensor architecture to address the aforementioned challenges. The architecture is analyzed using a probabilistic model and simulation. A flash LiDAR setup is simulated with typical operating conditions of a wide angle field-of-view (FOV = 40 ° ) in a 50 klux ambient light assumption. Single-point ranging simulations are obtained for distances up to 150 m using the DTOF model. An activity-dependent coincidence is proposed as a way to improve imaging of wide dynamic range targets. An example scene with targets ranging between 8–60% reflectivity is used to simulate the proposed method. The model predicts that a single threshold cannot yield an accurate reconstruction and a higher (lower) reflective target requires a higher (lower) coincidence threshold. Further, a pixel-clustering scheme is introduced, capable of providing multiple simultaneous timing information as a means to enhance throughput and reduce timing uncertainty. Example scenes are reconstructed to distinguish up to 4 distinct target peaks simulated with a resolution of 500 ps. Alternatively, a time-gating mode is simulated where in the DTOF sensor performs target-selective ranging. Simulation results show reconstruction of a 10% reflective target at 20 m in the presence of a retro-reflective equivalent with a 60% reflectivity at 5 m within the same FOV. Full article
Show Figures

Figure 1

16 pages, 6644 KiB  
Article
1/f Noise Modelling and Characterization for CMOS Quanta Image Sensors
by Wei Deng and Eric R. Fossum
Sensors 2019, 19(24), 5459; https://doi.org/10.3390/s19245459 - 11 Dec 2019
Cited by 10 | Viewed by 5973
Abstract
This work fits the measured in-pixel source-follower noise in a CMOS Quanta Image Sensor (QIS) prototype chip using physics-based 1/f noise models, rather than the widely-used fitting model for analog designers. This paper discusses the different origins of 1/f noise in [...] Read more.
This work fits the measured in-pixel source-follower noise in a CMOS Quanta Image Sensor (QIS) prototype chip using physics-based 1/f noise models, rather than the widely-used fitting model for analog designers. This paper discusses the different origins of 1/f noise in QIS devices and includes correlated double sampling (CDS). The modelling results based on the Hooge mobility fluctuation, which uses one adjustable parameter, match the experimental measurements, including the variation in noise from room temperature to –70 °C. This work provides useful information for the implementation of QIS in scientific applications and suggests that even lower read noise is attainable by further cooling and may be applicable to other CMOS analog circuits and CMOS image sensors. Full article
Show Figures

Figure 1

19 pages, 12708 KiB  
Article
Random Telegraph Noises from the Source Follower, the Photodiode Dark Current, and the Gate-Induced Sense Node Leakage in CMOS Image Sensors
by Calvin Yi-Ping Chao, Shang-Fu Yeh, Meng-Hsu Wu, Kuo-Yu Chou, Honyih Tu, Chih-Lin Lee, Chin Yin, Philippe Paillet and Vincent Goiffon
Sensors 2019, 19(24), 5447; https://doi.org/10.3390/s19245447 - 10 Dec 2019
Cited by 9 | Viewed by 5639
Abstract
In this paper we present a systematic approach to sort out different types of random telegraph noises (RTN) in CMOS image sensors (CIS) by examining their dependencies on the transfer gate off-voltage, the reset gate off-voltage, the photodiode integration time, and the sense [...] Read more.
In this paper we present a systematic approach to sort out different types of random telegraph noises (RTN) in CMOS image sensors (CIS) by examining their dependencies on the transfer gate off-voltage, the reset gate off-voltage, the photodiode integration time, and the sense node charge retention time. Besides the well-known source follower RTN, we have identified the RTN caused by varying photodiode dark current, transfer-gate and reset-gate induced sense node leakage. These four types of RTN and the dark signal shot noises dominate the noise distribution tails of CIS and non-CIS chips under test, either with or without X-ray irradiation. The effect of correlated multiple sampling (CMS) on noise reduction is studied and a theoretical model is developed to account for the measurement results. Full article
Show Figures

Figure 1

Back to TopTop