Next Article in Journal
Highly Versatile Photonic Integration Platform on an Indium Phosphide Membrane
Previous Article in Journal
A Low-Loss Circuit with High-Pass Low-Pass Broadband Flat Negative Group Delay Characteristics
Previous Article in Special Issue
Enhancing CMRR in Fully Differential Amplifiers via Power Supply Bootstrapping
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

On Standard Cell-Based Design for Dynamic Voltage Comparators and Relaxation Oscillators

Department of Electrical, Electronics and Telecommunication Engineering and Naval Architecture (DITEN), University of Genova, 16145 Genova, Italy
Chips 2025, 4(3), 31; https://doi.org/10.3390/chips4030031
Submission received: 27 January 2025 / Revised: 29 July 2025 / Accepted: 29 July 2025 / Published: 30 July 2025
(This article belongs to the Special Issue IC Design Techniques for Power/Energy-Constrained Applications)

Abstract

This paper deals with a standard cell-based analog-in-concept pW-power building block as a comparator and a wake-up oscillator. Both topologies, traditionally conceived as an analog building block made by a custom process and supply voltage-dependent design flow, are designed only by using digital gates, enabling them to be automated and fully synthesizable. This further results in supply voltage scalability and regulator-less operation, allowing direct powering by an energy harvester without additional ancillary circuit blocks (such as current and voltage sources). In particular, the circuit similarities in implementing a rail-to-rail dynamic voltage comparator and a relaxation oscillator using only digital gates are discussed. The building blocks previously reported in the literature by the author will be described, and the common root of their design will be highlighted.

1. Introduction

To meet the strict power and cost restrictions of sensor nodes for the Internet of Things (IoT), Integrated Circuit (ICs) approaches that facilitate dependable operation over a wide variety of supply voltages are essential [1,2,3,4,5,6,7]. Most analog building blocks are unsuitable for ultra-low-power systems, such as sensor nodes and energy-harvested systems, as their supply voltage is typically 0.6 V or higher. In this framework, the need to increase design automation, reduce human design effort, and thereby reduce costs has increased the relevance of highly digital, fully synthesizable building blocks that perform analog functions. On this basis, circuit solutions based on digital standard cells that exploit design/technology portability and operate under aggressively scaled supply voltage conditions have been explored [8,9].
Among the several digital-based circuit solutions of traditionally analog building blocks already explored in the literature, the present paper will focus on rail-to-rail dynamic voltage comparators (RRDVCs) and relaxation oscillators. The first ones, the comparators, are essential building blocks for the functions, speed, and power consumption of basic circuits such as analog-to-digital converters and linear voltage regulators (i.e., LDOs) used in smart systems to control power, utilize sensors, or communicate with the environment [10,11,12,13,14,15,16,17,18]. The latter, relaxation oscillators, are generally nonlinear oscillator circuits used to generate a repeating, non-sinusoidal output signal, such as a square or triangle wave. The Hz-range oscillation frequencies of such oscillators can lead to Hz-range duty-cycled operation mode in sensor nodes, which is the main method for saving power [19,20,21,22,23,24,25,26,27,28,29,30,31]. The paper shows how, from the understanding of fully synthesizable RR-DVC (FS-RRDVCs), it is possible to conceive fully synthesizable relaxation oscillators (FS-RelOsc) that can work with a supply voltage as low as 0.3 V, enabling the creation of an unregulated and reference-less IC building block highly suitable for any IoT sensor node and any electronic system with tight energy constraints.
The paper is structured as follows: firstly, different versions of fully synthesizable DVCs are presented in Section 2, where their working principle is briefly described, and a few basic versions are shown. Then, on this basis, how to move from an FS RRDVC to a fully synthesizable relaxation oscillator (FS-RelOsc) by adding a timing capacitor and a minimum gates refinement is discussed in Section 3. Section 4 discusses how dynamic leakage suppression logic offers a straightforward possibility to make a relaxation oscillator suitable as a wake-up oscillator with negligible supply voltage dependence. The conclusions are drawn in Section 4.

2. Fully Synthesizable Rail-to-Rail Dynamic Voltage Comparator

Dynamic voltage comparators (DVCs) are key parts of analog-to-digital converters (ADCs), sensor interfaces for gathering physical data and event monitoring, and sensor nodes. Despite the effort put into implementing DVCs, they often request manual or custom layouts and designs that are kept separate from the digital standard cell-based modules, which makes block design and system integration more challenging. In this context, the first fully synthesizable DVC circuit example was reported in Figure 1a, first introduced in [10,11,12,13,14], and further refined in [15,16,17,18]. This meant that for the first time, the RRDVC shown in Figure 1c was used as a rail-to-rail solution. This latter merges the prior NAND-based topology (Figure 1a) with its dual, NOR-based one (Figure 1b) to obtain a rail-to-rail solution. The RRDVC is incredibly tiny and has an entirely synthesizable design flow. The place and route (PnR) of the layout can be automated by starting with a structural Verilog description, as it is wholly based on CMOS digital standard cells.
To describe how the fully synthesizable RRDVC operates, only the bottom portion of the circuit, which is based on NAND3 input gates, is shown in Figure 2. Specifically, the first DVC [10] is shown in Figure 2a, which includes an input stage with two NAND3 gates and an SR latch. Figure 2b (dotted frames) reports the NAND3 input gate design. The top inputs A1 and A2 of the NAND3 are linked to the gates of the top nMOS devices (MN1_1 and MN1_2), and these are connected to the non-inverting ( v I N p ) and inverting ( v I N m ) analog inputs of the DVC. The center input B1 (B2), which is cross-fed from the OUT2 (OUT1) output, drives the NMOS transistor MN2_1 (MN2_2). The sample clock is connected to the bottom inputs C1 and C2, as shown in Figure 1b,c. The SR latch’s set (S) and reset (R) inputs are also connected to the outputs OUT1 and OUT2 via inverter gates. The latter keeps the prior comparator’s output when the sampling clock is low, or the comparator is not used. In this example, the cross-coupled feedback connection activates transistors MN2_1, MN2_2, and MP3_1 and MP3_2 after pre-charging OUT1 and OUT2 to V D D . When transistors MN3_1 and MN3_2 are turned on during the low-to-high clock transition, the pull-down networks of the two NAND gates are enabled because MN2_1 and MN2_2 are still on immediately after the rising clock edge, as OUT1 and OUT2 are still at V D D . At the same time, transistors MP3_1 and MP3_2 are turned off, which prevents the precharge of OUT1 and OUT2. If the input differential voltage v d i f f = v I N p v I N m is positive, transistor MN1_1 would sequentially pull down the output OUT1 faster than transistor OUT2 because its gate voltage is lower than that of transistor MN1_2. As a result, OUT1 achieves the threshold voltage V T H n for NMOS transistors before OUT2. In this way, MN2_2 is turned off, and a positive feedback loop is initiated, driving the OUT1 and OUT2 latches to be low and high, respectively. The reverse considerations apply if the input v d i f f is negative. In both cases, the polarity of the analog input differential voltage around the rising clock edge sets the digital output, which latches via the SR latch, as one might anticipate from a DVC. The DVC mentioned above causes the drain currents of MP1_1 and MP1_2, which are also controlled by the analog inputs, to resist the drawing down of OUT1 and OUT2.
The OUT1 and OUT2 falling transients, which are naturally determined by MN1_1 and MN1_2 (see above), are explicitly opposed to these currents. This behavior is negligible when the common-mode input voltage is close to V D D because MP1_1 and MP1_2 are not functioning, which results in a very low current. However, as the common-mode input voltage decreases, the source–gate voltage of MP1_1 and MP1_2 increases, along with their corresponding currents. The currents buried by MN1_1 and MN1_2 drop as the common-mode voltage drops, and the latter subtracts from these currents to pull down OUT1 and OUT2 (see the details of currents involved in the switching of the OUT1 node in Figure 2b). OUT1 and OUT2 must remain at V D D regardless of inputs when common-mode voltages are too low because MP1_1 and MP1_2 currents outweigh MN1_1 and MN1_2 currents (i.e., the comparator stops operating correctly). According to simulations, a value V N , roughly equivalent to V D D /2, constrains the common-mode range (CMR) to a lesser extent.
On this basis, the complementary NOR3 version in Figure 1b is combined with the NAND3 input stage in Figure 1a. Double CMR limitations are present throughout the circuit. The NOR3 gates only function as a comparator properly when the common-mode input voltage V P is close enough to the ground, as shown when the preceding study is repeated using NOR gates rather than NAND gates. The comparator stops working correctly when it surpasses a maximum Image value, which is again found to be around V D D /2. The corresponding NOR3-based DVC fails when V P is exceeded because OUT1 and OUT2 cannot be addressed appropriately. The whole circuit produces a DVC with rail-to-rail CMR while retaining full synthesizability if the condition V P > V N is satisfied.
Therefore, a DVC that uses rail-to-rail CMR while retaining full synthesizability may be produced by combining the input stages of a NAND3-based DVC (Figure 1a and Figure 2a) with its complementary form, a NOR3-based DVC (Figure 1b). A dual-input SR latch is driven by the cross-coupled NAND3 and NOR3 outputs, as seen in the truth table in Figure 1c. ORing is used to combine the two “set” inputs (S1 and S2) and the two “reset” inputs (R1 and R2). Therefore, the appropriate operation of the other input stage (NOR or NAND) is sufficient to operate the SR latch correctly if the signals originating from that stage are stuck at their pre-charge level, signifying that it cannot generate acceptable outputs. As previously mentioned, the dual-input SR latch stores the value the functioning input stage provides while remaining indifferent to the other’s output. According to the CMR, this ensures appropriate functioning toward V D D . Opposing concerns come into play when the common-mode input is nearer the ground than V D D . In this case, even if the outputs of the NAND3 gates are stalled at V D D , the SR latch still generates the correct output. The intended outputs, S2 and R2, are produced by the NOR3 gates operating as expected.

3. Digital-Gate Design: From Dynamic Voltage Comparators to Relaxation Oscillators

The DVC clock enables a pre-charge phase that allows a new valuation phase starting with a balanced current flowing into the two input branches. This is needed to detect differential-mode input voltage. Assuming the voltages of the two inputs at the opposite side of the input dynamic range (i.e., VDD and 0), the precharge/restart phase is no longer necessary. Under this condition, (i) the complementary outputs of the comparator can be shortened directly to the input nodes (see green line in Figure 3a), creating an oscillator due to the propagation of a commutating signal across the parasitic between input and output; (ii) the clock terminal is redundant so that the 3-input NANDs can be replaced with 2-input NANDs.
In Figure 3a, in addition to the above-mentioned circuit modifications, an additional timing capacitor (in red between nodes OUT_1 and OUT_2) is placed among the former output nodes of the DVC. This allows for a modicum of control over the oscillation frequency, which is otherwise only related to parasitics. In fact, the presence of the timing capacitor defines a relaxation oscillator whose frequency is linearly dependent on such a timing capacitor. Moreover, the outputs of two 2-input NANDs (see red NANDs in Figure 3a) have been tied to the terminal of such a timing capacitor. Such NANDs have two roles: (a) they define the common mode of the two terminals; (b) the respective terminal ENABLE allows the oscillation activity to be stopped (whenever it is tied to the ground).
In brief, a fully synthesizable relaxation oscillator (FS-RelOsc) has been implemented by connecting the outputs of a fully synthesizable DVC to their respective inputs, removing redundant clock inputs, and adding a timing capacitor and two NAND gates. These changes are shown in Figure 3a. In Figure 3b, the gates of Figure 3a are spatially rearranged, but the circuit is the same as that in Figure 3a. To facilitate the understanding of how Figure 3a,b are the same, the feedback loop in the first figure is reported in the latter one highlighted in green.
It is worth mentioning that the digital gates considered so far do not have special requirements. Thus, they can be implemented using the standard cells available in any process design kit for the digital design flow. In other words, building digital gates does not require any customization to allow the DVC and the relaxation oscillator to work.
The sizing of the gates is possible by choosing a different strength among the available cells of a given process design kit. For instance, increasing the strength of the input gate of the DVC (both NANDs, NORs, and rail-to-rail versions) implies an offset reduction, according to Pelgrom’s law [31]. On the contrary, a minimum strength is suitable for the relaxation oscillator.
Starting from the DVC mentioned above, other solutions have been proposed, mainly with the target of reducing the delay [20,21,22,23,24,25,26,27]. These solutions have been used as the building blocks of analog-to-digital converters [32,33,34,35,36]. Regarding the relaxation oscillator, few solutions have been proposed [28,29,30]. Notice that the digital nature of both circuits enables them to operate even without a supply voltage regulator, over a wide supply voltage range, and under aggressively scaled supply voltage conditions. The supply voltage scaling worsens the offset in RRDVC and increases the delay, respectively, lowering the frequency oscillation of the relaxation oscillator.
The standard cell implementation of the oscillator with conventional CMOS is affected by jitter, just as a ring oscillator is. To exploit, at best, the proposed relaxation oscillator’s simplicity and supply voltage scalability, it needs to rely on another logic implementation (i.e., the DLS described in the following Section) that makes it suitable as a wake-up (Hz-range) oscillator.

4. A Wake-Up Relaxation Oscillator Made with DLS Gates

An alternative and more effective way to slow the oscillation frequency is to use the dynamic leakage suppression (DLS) logic [37] that is highly suited to wake-up oscillator design. The DLS (first known as ultra-low-power [38]) logic style significantly reduces the standby power of digital standard cells at the expense of reduced speed [39,40,41,42,43,44,45,46,47,48,49]. The standby power is usually two to three orders of magnitude lower than the normal transistor leakage (i.e., at zero gate–source voltage), and the gate delay is usually in the millisecond range. The DLS pull-up (MPU) and pull-down (MPD) transistors are identical to those found in a typical CMOS inverter (the same observations apply to any other standard cell) in the DLS inverter gate, which is shown in Figure 4. Furthermore, DLS gates consist of a PMOS footer MP and an NMOS header transistor MN, both of which have a feedback loop created by driving their gate terminals with the cell output. As will be covered later, this loop causes a notable decrease in standby current and hysteretic behavior.
Figure 4 illustrates how a low input disables MPD, causing the output to be high, which in turn disables the PMOS footer MP, thereby reducing the standby current drawn by the DLS logic gates. The voltage V x of their common node X settles to a value that is around V D D / 2 since the drain currents of MPD and MP are equal [37,38]. This results in super-cutoff operation and a negative gate–source (source–gate) voltage in MPD (MP) of about V D D / 2 [37,38]. Dual considerations apply to a large input, resulting in super-cutoff operation in MN and MPU. The standby current of DLS logic gates is two to three orders of magnitude lower than the normal leakage current because it is located in the super-cutoff area. The inverter gate standby current in 180 nm CMOS is 10 fA/gate [37,38], which is several hundred times lower than the normal leakage. It is even lower for other logic gates that use stacked transistors.
Thus, DLS logic is highly suitable for wake-up oscillators. In fact, Hz-range functioning with small on-chip capacitors and, hence, little area is made possible by the very modest current (pA range) that DLS gates give to the load. The DLS logic characteristics can be exploited to implement an Hz-range FS-RelOsc. In particular, the relaxation oscillator in Figure 3b is further reported in Figure 5 by DLS logic implementation and an additional inverter for the output.
Notice that the equations that define the oscillation period for the relaxation oscillator, just using standard CMOS technology instead of DLS, are the same. What differs is the way DLS affects such an equation across different supply voltages and temperatures (as shown in Figure 6). Regarding the temperature, as the transistors of the DLS operate in deep subthreshold, a stronger exponential temperature dependence can be observed (Figure 6b,c). Nevertheless, what makes the use of the DLS for the proposed oscillator remarkable, unlike the standard CMOS, is its slight supply voltage independence (Figure 6a). This is because, in DLS, the current varies slightly linearly with the supply voltage (unlike in standard CMOS). Since the hysteresis window still exhibits slight linear variance, a 10%/V frequency variation occurs over the supply voltage scaling from 1.8 V down to 0.3 V.
Conventional on-chip and traditionally analog-based wake-up oscillators require ancillary circuitry such as current and voltage references and regulation. As the quiescent power of current state-of-the-art references/voltage regulators is in the order of nWs or higher [50,51,52], the intrinsic power consumption of the oscillator is easily exceeded. Accordingly, the dismissal of voltage regulation and references is essential for taking advantage of deep sub-nW oscillators [53,54,55,56,57,58,59,60,61,62,63,64,65,66,67,68,69,70,71,72]. On the contrary, the DLS wake-oscillator (Figure 5) conceived starting from the DVC implemented in CMOS (Figure 1a), according to the steps mentioned above, can operate in the wide voltage range from deep sub-threshold to nominal voltage and achieve the lowest power reported to date (1.4–1.6 pW), outperforming the previous best in class by 2.5X [28,29,30].
Notice that the oscillator implementation with a pseudo-CMOS implementation [73,74] (also named dual-mode logic [75,76,77,78]) allows the use of the relaxation oscillator in other circuit solutions as seen in digital-based sensors, where the switching activity can be hastened, resulting in a conversion time that makes the practical implementation of fully synthesizable and supply voltage-based highly independent fully synthesizable sensors possible for capacitive [76,77] and temperature sensors [78].

5. Conclusions

In this paper, two digital-gate-based circuit solutions have been explored. In particular, the steps involved in transitioning from a fully synthesizable dynamic voltage comparator (DVC) to a relaxation oscillator have been discussed. The relaxation oscillator has been utilized as a wake-up oscillator, reducing both its power consumption and speed by employing DLS logic. This defines a perfect match between a novel digital-gate-based solution and the practical use of an IC building block. Moreover, although they are composed of only a few gates, they can be easily described by a Verilog structural code and incorporated into a digital design flow. Then, both the DVC and the relaxation oscillator show low supply voltage sensitivity across a broad supply voltage range, from nominal voltage to the deep sub-threshold region (i.e., 0.3 V). This eliminates the need for voltage regulation, allowing for direct powering from energy harvesters or batteries throughout their entire discharge cycle. Thus, the DVCs and the relaxation oscillator are well-suited for millimeter-sized energy-harvested sensor nodes.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The author declares no conflicts of interest.

References

  1. Aiello, O. Ultra-Low-Power ICs for the Internet of Things. J. Low Power Electron. Appl. 2023, 13, 38. [Google Scholar] [CrossRef]
  2. Aiello, O.; Crovetti, P.; Alioto, M. Ultra-Low Power and Minimal Design Effort Interfaces for the Internet of Things: Invited paper. In Proceedings of the 2019 IEEE International Circuits and Systems Symposium (ICSyS), Kuantan, Malaysia, 18–19 September 2019; pp. 1–4. [Google Scholar]
  3. Aiello, O.; Crovetti, P.; Alioto, M. Minimum-Effort Design of Ultra-Low Power Interfaces for the Internet of Things. In Proceedings of the 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Genoa, Italy, 27–29 November 2019; pp. 105–106. [Google Scholar]
  4. Di Patrizio Stanchieri, G.; Aiello, O.; De Marcellis, A. A 0.4 V 180 nm CMOS Sub-μW Ultra-Compact and Low-Effort Design PWM-Based ADC. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024; pp. 1–5. [Google Scholar] [CrossRef]
  5. Stanchieri, G.D.P.; De Marcellis, A.; Faccio, M.; Palange, E.; Aiello, O. A Fully Integrated CMOS 0.3 V 335 nW PWM-Based Light-to-Digital Converter for Optoelectronic Sensing Systems in Biomedical Applications. IEEE Sens. Lett. 2025, 9, 3500404. [Google Scholar] [CrossRef]
  6. Stanchieri, G.D.P.; Aiello, O.; De Marcellis, A. A reduced effort design, low power, extremely compact, CMOS ADC based on voltage-to-time converter. AEU Int. J. Electron. Commun. 2025, 196, 155790. [Google Scholar] [CrossRef]
  7. Rodovalho, L.-H.; Aiello, O. Inverter-Based Amplifier with Active Frequency Compensation and Adaptive Voltage Scaling. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024; pp. 1–5. [Google Scholar] [CrossRef]
  8. Catania, A.; Benvenuti, L.; Ria, A.; Manfredini, G.; Piotto, M.; Bruschi, P. A 2 nW 0.25 V 140 dB-FOM Inverter-Based First Order ΔΣ Modulator. IEEE Trans. Circuits Syst. II Express Briefs 2020, 67, 1514–1518. [Google Scholar]
  9. Della Sala, R.; Centurelli, F.; Scotti, G.; Palumbo, G. Standard-Cell-Based Comparators for Ultra-Low Voltage Applications: Analysis and Comparisons. Chips 2023, 2, 173–194. [Google Scholar] [CrossRef]
  10. Weaver, S.; Hershberg, B.; Kurahashi, P.; Knierim, D.; Moon, U.-K. Stochastic flash analog-to-digital conversion. IEEE Trans. Circuits Syst. I Reg. Papers 2010, 57, 2825–2833. [Google Scholar] [CrossRef]
  11. Weaver, S.; Hershberg, B.; Moon, U.-K. Digitally synthesized stochastic flash ADC using only standard digital cells. IEEE Trans. Circuits Syst. I Reg. Papers 2014, 61, 84–91. [Google Scholar] [CrossRef]
  12. Fahmy, A.; Liu, J.; Kim, T.; Maghari, N. An All-Digital Scalable and Reconfigurable Wide-Input Range Stochastic ADC Using Only Standard Cells. IEEE Trans. Circuits Syst. II Express Briefs 2015, 62, 731–735. [Google Scholar] [CrossRef]
  13. Liu, J.; Maghari, N. A fully-synthesizable 0.6V digital LDO with dual-loop control using digital standard cells. In Proceedings of the 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS), Vancouver, BC, Canada, 26–29 June 2016; pp. 1–4. [Google Scholar] [CrossRef]
  14. Liu, J.; Park, B.; Guzman, M.; Fahmy, A.; Kim, T.; Maghari, M. A Fully Synthesized 77-dB SFDR Reprogrammable SRMC Filter Using Digital Standard Cells. IEEE Trans. VLSI Syst. 2018, 26, 1126–1138. [Google Scholar] [CrossRef]
  15. Aiello, O.; Crovetti, P.; Alioto, M. Fully synthesizable rail-to-rail dynamic voltage comparator for operation down to 0.3 V. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–5. [Google Scholar]
  16. Aiello, O.; Crovetti, P.; Toledo, P.; Alioto, M. Rail-to-Rail Dynamic Voltage Comparator Scalable down to pW-Range Power and 0.15-V Supply. IEEE Trans. Circuits Syst. II Express Briefs 2021, 68, 2675–2679. [Google Scholar] [CrossRef]
  17. Aiello, O.; Pedro Toledo, P. Temperature Characterization of a Fully-synthesizable Rail-to-Rail Dynamic Voltage Comparator operating down to 0.15-V: (Invited paper). In Proceedings of the 2021 19th IEEE International New Circuits and Systems Conference (NEWCAS), Toulon, France, 13–16 June 2021; pp. 1–4. [Google Scholar]
  18. Bui, D.-H.; Tran, D.-M.; Caviglia, D.D.; Aiello, O. Fully Synthesizable Dynamic Voltage Comparator across technology nodes and scaled supply voltages. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024; pp. 1–5. [Google Scholar] [CrossRef]
  19. Manno, A.; Scotti, G.; Palumbo, G. Design of Ultra-Low-Power Rail-to-Rail Input Common Mode Range Standard-Cell-Based Comparators. J. Low Power Electron. Appl. 2025, 15, 14. [Google Scholar] [CrossRef]
  20. Li, X.; Zhou, T.; Ji, Y.; Li, Y. A 0.35 V-to-1.0 v. synthesizable rail-to-rail dynamic voltage comparator based OAI&AOI logic. Analog Integr. Circuits Signal Process. 2020, 104, 351–357. [Google Scholar]
  21. Li, M.; Wang, J.; Cheng, X.; Han, J.; Zeng, X. A Digital Synthesizable Full Common-mode Input Range Dynamic Voltage Comparator. In Proceedings of the 2020 IEEE 15th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Kunming, China, 3–6 November 2020; pp. 1–3. [Google Scholar] [CrossRef]
  22. Zou, X.; Nakatake, S. A Fully Synthesizable, 0.3V, 10nW Rail-to-rail Dynamic Voltage Comparator. In Proceedings of the 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS), Springfield, MA, USA, 9–12 August 2020; pp. 199–202. [Google Scholar] [CrossRef]
  23. Zhou, T.; Li, X.; Ji, Y.; Li, Y. A 0.25–1.0 v. fully synthesizable three-stage dynamic voltage comparator based XOR&XNOR&NAND&NOR logic. Analog Integr. Circuits Signal Process. 2021, 108, 221–228. [Google Scholar]
  24. Sasidhar, R.S.; Umamaheswara, R.G. A Novel Low Power Digital-Based Analog Voltage Comparator Circuit. In Proceedings of the 2022 6th International Conference on Computation System and Information Technology for Sustainable Solutions (CSITSS), Bangalore, India, 21–23 December 2022; pp. 1–6. [Google Scholar]
  25. Della Sala, R.; Bocciarelli, C.; Centurelli, F.; Spinogatti, V.; Trifiletti, A. A Novel Ultra-Low Voltage Fully Synthesizable Comparator exploiting NAND Gates. In Proceedings of the 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), Valencia, Spain, 18–21 June 2023; pp. 21–24. [Google Scholar]
  26. Wang, S.; Guo, Y.; Pan, Q.; Liu, X.; Wang, S.; Jin, J. A Fully Synthesizable Dynamic Voltage Comparator with Time-Domain Offset Calibration. In Proceedings of the 2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS), Tempe, AZ, USA, 6–9 August 2023; pp. 482–485. [Google Scholar] [CrossRef]
  27. Della Sala, R.; Centurelli, F.; Scotti, G.; Palumbo, G. Rail to Rail ICMR and High Performance ULV Standard-Cell-Based Comparator for Biomedical and IoT Applications. IEEE Access 2024, 12, 4642–4659. [Google Scholar] [CrossRef]
  28. Aiello, O.; Crovetti, P.; Alioto, M. A Sub-Leakage PW-Power HZ-Range Relaxation Oscillator Operating with 0.3V-1.8V Unregulated Supply. In Proceedings of the 2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 18–22 June 2018; pp. 119–120. [Google Scholar] [CrossRef]
  29. Aiello, O.; Crovetti, P.; Lin, L.; Alioto, M. A pW-Power Hz-Range Oscillator Operating with a 0.3V–1.8V Unregulated Supply. IEEE J. Solid-State Circuits 2019, 54, 1487–1496. [Google Scholar] [CrossRef]
  30. Aiello, O.; Crovetti, P.; Alioto, M. Wake-Up Oscillators with pW Power Consumption in Dynamic Leakage Suppression Logic. In Proceedings of the 2019 IEEE International Symposium on Circuits and Systems (ISCAS), Sapporo, Japan, 26–29 May 2019; pp. 1–5. [Google Scholar] [CrossRef]
  31. Pelgrom, M.J.M.; Duinmaijer, C.J.; Welbers, A.P.G. Matching properties of MOS transistors. IEEE J. Solid-State Circuits 1989, 24, 1433–1440. [Google Scholar] [CrossRef]
  32. Seo, M.J.; Roh, Y.J.; Chang, D.J.; Kim, W.; Kim, Y.D.; Ryu, S.T. A reusable code-based SAR ADC design with CDAC compiler and synthesizable analog building blocks. IEEE Trans. Circuits Syst. II Exp. Briefs 2018, 65, 1904–1908. [Google Scholar] [CrossRef]
  33. Ojima, N.; Xu, Z.; Iizuka, T. A 0.0053-mm2 6-bit fully-standard-cell-based synthesizable SAR ADC in 65 nm CMOS. In Proceedings of the 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS), Munich, Germany, 23–26 June 2019; pp. 1–4. [Google Scholar]
  34. Park, J.-E.; Hwang, Y.-H.; Jeong, D.-K. A 0.5-V fully synthesizable SAR ADC for on-chip distributed waveform monitors. IEEE Access 2019, 7, 63686–63697. [Google Scholar] [CrossRef]
  35. Xu, Z.; Ojima, N.; Li, S.; Iizuka, T. An all-standard-cell-based synthesizable SAR ADC with nonlinearity-compensated RDAC. IEEE Trans. VLSI Syst. 2021, 29, 2153–2162. [Google Scholar] [CrossRef]
  36. Yang, F.; Bui, D.H.; Zhao, Y.; Qi, L.; Zhang, J.; Tran, X.T.; Li, Y. Understanding Synthesizable Design Methodologies for Mixed-Signal SAR ADC Circuits. Integr. Circuits Syst. 2024, 1, 120–126. [Google Scholar] [CrossRef]
  37. Lim, W.; Lee, I.; Sylvester, D.; Blaauw, D. Batteryless sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic. In Proceedings of the 2015 IEEE International Solid-State Circuits Conference—(ISSCC) Digest of Technical Papers, San Francisco, CA, USA, 22–26 February 2015; pp. 1–3. [Google Scholar]
  38. Bol, D.; Ambroise, R.; Flandre, D.; Legat, J.-D. Building Ultra-Low-Power Low-Frequency Digital Circuits with High-Speed Devices. In Proceedings of the 2007 14th IEEE International Conference on Electronics, Circuits and Systems, Marrakech, Morocco, 11–14 December 2007; pp. 1404–1407. [Google Scholar]
  39. Yin, J.; Stan, M.R. Design and Modeling for Very High-sensitivity UHF RF Energy Harvesting Circuit. In Proceedings of the 2025 IEEE 16th Latin America Symposium on Circuits and Systems (LASCAS), Bento Gonçalves, Brazil, 25–28 February 2025; pp. 1–5. [Google Scholar] [CrossRef]
  40. Shavit, N.; Stanger, I.; Taco, R.; Yavits, L.; Fish, A. Low Power, Energy Efficient and High Performance Triple Mode Logic for IoT Applications. In Proceedings of the 2024 19th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), Larnaca, Cyprus, 9–12 June 2024; pp. 1–4. [Google Scholar] [CrossRef]
  41. Yin, J.; Stan, M.R. A Low Power SRAM with Fully Dynamic Leakage Suppression for IoT Nodes. In Proceedings of the 2023 24th International Symposium on Quality Electronic Design (ISQED), San Francisco, CA, USA, 5–7 April 2023; pp. 1–8. [Google Scholar] [CrossRef]
  42. Chen, X.; Chen, G.; Yu, X.; Wang, Y.; Niitsu, K. A 52.3% Peak Efficiency 22nm CMOS Low-Power Light-Adaptive Self-Oscillating Voltage Doubler Using Scalable Dynamic Leakage-Suppression Logic. In Proceedings of the 2022 IEEE 13th Latin America Symposium on Circuits and System (LASCAS), Puerto Varas, Chile, 1–4 March 2022; pp. 1–4. [Google Scholar] [CrossRef]
  43. Wang, Y.; Chen, G.; Yu, X.; Chen, X.; Niitsu, K. A 22nm CMOS 0.2V 13.3nW 16T SRAM Using Dynamic Leakage Suppression and Half-Selected Free Technique. In Proceedings of the 2021 IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), Penang, Malaysia, 22–26 November 2021; pp. 29–32. [Google Scholar] [CrossRef]
  44. Tan, J.S.Y.; Park, J.H.; Li, J.; Dong, Y.; Chan, K.H.; Ho, G.W.; Yoo, J. A Fully Energy-Autonomous Temperature-to-Time Converter Powered by a Triboelectric Energy Harvester for Biomedical Applications. IEEE J. Solid-State Circuits 2021, 56, 2913–2923. [Google Scholar] [CrossRef]
  45. Truesdell, D.S.; Calhoun, B.H. A Single-Supply 6-Transistor Voltage Level Converter Design Reaching 8.18-fJ/Transition at 0.3–1.2-V Range or 44-fW Leakage at 0.8–2.5-V Range. IEEE Solid-State Circuits Lett. 2020, 3, 502–505. [Google Scholar] [CrossRef]
  46. Tan, J.S.Y.; Park, J.; Li, J.; Dong, Y.; Chan, K.H.; Ho, G.W.; Yoo, J. A 0.14 pJ/conversion Fully Energy-Autonomous Temperature-to-Time Converter for Biomedical Applications. IEEE Solid-State Circuits Lett. 2020, 3, 466–469. [Google Scholar] [CrossRef]
  47. Cañada, J.; Yoshida, Y.; Miura, H.; Nakano, N. An On-Chip Ultra-Low-Power Hz-Range Ring Oscillator Based on Dynamic Leakage Suppression Logic. In Proceedings of the 2020 35th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), Nagoya, Japan, 3–6 July 2020; pp. 378–383. [Google Scholar]
  48. Truesdell, D.S.; Calhoun, B.H. Improving Dynamic Leakage Suppression Logic with Forward Body Bias in 65nm CMOS. In Proceedings of the 2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), San Jose, CA, USA, 14–17 October 2019; pp. 1–2. [Google Scholar] [CrossRef]
  49. Kobayashi, A.; Hayashi, K.; Arata, S.; Murakami, S.; Xu, G.; Islam, Z.; Niitsu, K. A 2.1-nW Burst-Pulse-Counting Supply Voltage Monitor for Biofuel-Cell-Combined Biosensing Systems in 180-nm CMOS. In Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS), Nara, Japan, 17–19 October 2019; pp. 1–4. [Google Scholar] [CrossRef]
  50. Kawaguchi, H.; Nose, K.; Sakurai, T. A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current. IEEE J. Solid-State Circuits 2000, 35, 1498–1501. [Google Scholar] [CrossRef]
  51. Liu, X.; Sanchez-Sinencio, E. A 0.45-to-3V reconfigurable charge pump energy harvester with two-dimensional MPPT for Internet of Things. In Proceedings of the 2015 IEEE International Solid-State Circuits Conference—(ISSCC) Digest of Technical Papers, San Francisco, CA, USA, 22–26 February 2015; pp. 1–3. [Google Scholar]
  52. LTC3107 Ultralow Voltage Energy Harvester and Primary Battery Life Extender, Linear Technologies Corp. 2013. Available online: https://www.analog.com/media/en/technical-documentation/data-sheets/3107f.pdf (accessed on 1 July 2025).
  53. Savanth, A.; Weddell, A.S.; Myers, J.; Flynn, D.; Al-Hashimi, B.M. A Sub-nW/kHz Relaxation Oscillator with Ratioed Reference and Sub-Clock Power Gated Comparator. IEEE J. Solid-State Circuits 2019, 54, 3097–3106. [Google Scholar] [CrossRef]
  54. Liu, Y.; Zhu, Z.; Bao, R.; Lin, J.; Yin, J.; Li, Q.; Mak, P.-I.; Yang, S. A Compact Sub-nW/kHz Relaxation Oscillator Using a Negative-Offset Comparator with Chopping and Piecewise Charge-Acceleration in 28-nm CMOS. IEEE Trans. Circuits Syst. I Regul. Pap. 2023, 71, 515–525. [Google Scholar] [CrossRef]
  55. Zhao, G.; Xiao, Z.; Mak, P.-I.; Martins, R.P.; Law, M.-K. One-Cycle-Startup Relaxation Oscillator Using Ratiometric Threshold-Referenced and Self-Synchronized Power Gating Techniques. IEEE Trans. Circuits Syst. II Express Briefs 2023, 71, 56–60. [Google Scholar] [CrossRef]
  56. Mirchandani, N.; Shrivastava, A. A 254-nW 20-kHz On-Chip RC Oscillator with 21-ppm/°C Minimum Temperature Stability and 10-ppm Long Term Stability. IEEE Trans. Circuits Syst. I Regul. Pap. 2023, 70, 2823–2833. [Google Scholar] [CrossRef]
  57. Wei, Y.; Yang, S.; Liu, Y.; Bao, R.; Zhu, Z.; Lin, J.; Zhang, Z.; Chen, Y.; Yin, J.; Mak, P.-I.; et al. A 0.0043-mm2 0.085-μW/MHz Relaxation Oscillator Using Charge-Prestored Asymmetric Swings R-RC Network. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2023, 31, 1248–1252. [Google Scholar] [CrossRef]
  58. Loo, M.H.-W.; Ramiah, H.; Lei, K.-M.; Lim, C.C.; Lai, N.S.; Mak, P.-I.; Martins, R.P. Fully-Integrated Timers for Ultra-Low-Power Internet-of-Things Nodes—Fundamentals and Design Techniques. IEEE Access 2022, 10, 65936–65950. [Google Scholar] [CrossRef]
  59. Wang, Z.; Liu, Y.; Zhou, P.; Tan, Z.; Fan, H.; Zhang, Y.; Shen, L.; Ru, J.; Wang, Y.; Ye, L.; et al. A 148-nW Reconfigurable Event-Driven Intelligent Wake-Up System for AIoT Nodes Using an Asynchronous Pulse-Based Feature Extractor and a Convolutional Neural Network. IEEE J. Solid-State Circuits 2021, 56, 3274–3288. [Google Scholar] [CrossRef]
  60. Lei, K.M.; Mak, P.I.; Martins, R.P. A 0.35-V 5200-μm2 2.1-MHz Temperature-Resilient Relaxation Oscillator with 667 fJ/Cycle Energy Efficiency Using an Asymmetric Swing-Boosted RC Network and a Dual-Path Comparator. IEEE J. Solid-State Circuits 2021, 56, 2701–2710. [Google Scholar] [CrossRef]
  61. Truesdell, D.S.; Li, S.; Calhoun, B.H. A 0.5-V 560-kHz 18.8-fJ/Cycle On-Chip Oscillator with 96.1-ppm/°C Steady-State Stability Using a Duty-Cycled Digital Frequency-Locked Loop. IEEE J. Solid-State Circuits 2021, 56, 1241–1253. [Google Scholar] [CrossRef]
  62. Zhou, R.; Wang, L.; Yang, J.; Li, Z.; Zhao, X.; Liu, S. A 8.1-nW, 4.22-kHz, −40–85 °C relaxation oscillator with subthreshold leakage current compensation and forward body bias buffer for low power IoT applications. Microelectron. J. 2024, 144, 106090. [Google Scholar] [CrossRef]
  63. Lei, K.M.; Mak, P.I.; Martins, R.P. Martins, Ultra-Low-Voltage Clock References, Analog and Mixed-Signal Circuits in Nanoscale CMOS. In Analog Circuits and Signal Processing; Springer: Berlin/Heidelberg, Germany, 2023; p. 91. [Google Scholar]
  64. Li, Z.; Zhao, H.; Liu, J.; Qiao, S.; Zhou, Y. A current-capacitor-based voltage average feedback RC oscillator with no comparators. AEU Int. J. Electron. Commun. 2023, 167, 154672. [Google Scholar] [CrossRef]
  65. Liao, Y.; Chan, P.K. A 1.1 V 25 ppm/°C Relaxation Oscillator with 0.045%/V Line Sensitivity for Low Power Applications. J. Low Power Electron. Appl. 2023, 13, 15. [Google Scholar] [CrossRef]
  66. Hu, Y.; Li, Z.; Hou, J.; Ma, W.; Lu, C.; Xiao, Z.; Liu, H.; Hu, W. A constant charging-current relaxation oscillator with a duty-cycled main comparator and an adaptive auxiliary comparator. Microelectron. J. 2022, 128, 105566. [Google Scholar] [CrossRef]
  67. Li, Z.; Zhao, H.; Yin, J.; Qiao, S.; Zhou, Y. A fully integrated RC oscillator with adaptive-body-biasing. IEICE Electron. Express 2022, 19, 20220102. [Google Scholar] [CrossRef]
  68. Lim, J.; Jang, T.; Saligane, M.; Yasuda, M.; Miyoshi, S.; Kawaminami, M.; Blaauw, D.; Sylvester, D. A 224 pW 260 ppm/°C Gate-Leakage-based Timer for Ultra-Low Power Sensor Nodes with Second-Order Temperature Dependency Cancellation. In Proceedings of the 2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 18–22 June 2018. [Google Scholar]
  69. Wang, H.; Mercier, P.P. A 1.6%/V 124.2 pW 9.3 Hz Relaxation Oscillator Featuring a 49.7 pW Voltage and Current Reference Generator. In Proceedings of the ESSCIRC 2017—43rd IEEE European Solid State Circuits Conference, Leuven, Belgium, 11–14 September 2017; pp. 99–102. [Google Scholar]
  70. Wang, H.; Mercier, P.P. A Reference-Free Capacitive-Discharging Oscillator Architecture Consuming 44.4 pW/75.6 nW at 2.8 Hz/6.4 kHz. IEEE J. Solid-State Circuits 2016, 51, 1423–1435. [Google Scholar] [CrossRef]
  71. Nadeau, P.M.; Paidimarri, A.; Chandrakasan, A.P. Ultra Low-Energy Relaxation Oscillator with 230 fJ/cycle Efficiency. IEEE J. Solid-State Circuits 2016, 51, 789–799. [Google Scholar] [CrossRef]
  72. Jeong, S.; Lee, I.; Blaauw, D.; Sylvester, D. A 5.8 nW CMOS Wake-Up Timer for Ultra-Low-Power Wireless Applications. IEEE J. Solid-State Circuits 2015, 50, 1754–1763. [Google Scholar] [CrossRef]
  73. Aiello, O.; Crovetti, P.; Alioto, M. Conversion Time-Power Tradeoff in Capacitance-to-Digital Converters with Dual-Mode Logic. In Proceedings of the 2022 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI), Porto Alegre, Brazil, 22–26 August 2022; pp. 1–5. [Google Scholar]
  74. Aiello, O.; Crovetti, P. Capacitance-to-digital converter in dual-mode logic: Power consumption vs conversion time trade-off. Analog. Integr. Circuits Signal Process. 2023, 117, 35–44. [Google Scholar] [CrossRef]
  75. Lin, L.; Jain, S.; Alioto, M. Sub-nW Microcontroller With Dual-Mode Logic and Self-Startup for Battery-Indifferent Sensor Nodes. IEEE J. Solid-State Circuits 2020, 56, 1618–1629. [Google Scholar] [CrossRef]
  76. Aiello, O.; Crovetti, P.; Alioto, M. 5.2 Capacitance-to-Digital Converter for Operation Under Uncertain Harvested Voltage down to 0.3V with No Trimming, Reference and Voltage Regulation. In Proceedings of the 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 74–76. [Google Scholar] [CrossRef]
  77. Aiello, O.; Crovetti, P.S.; Alioto, M. Capacitance-to-Digital Converter for Harvested Systems Down to 0.3 V with No Trimming, Reference, and Voltage Regulation. IEEE Trans. Circuits Syst. I Regul. Pap. 2023, 70, 1439–1449. [Google Scholar] [CrossRef]
  78. Aiello, O.; Alioto, M. Capacitance-Based Voltage Regulation- and Reference-Free Temperature-to-Digital Converter down to 0.3 V and 2.5 nW for Direct Harvesting. In Proceedings of the ESSCIRC 2022—IEEE 48th European Solid State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 381–384. [Google Scholar] [CrossRef]
Figure 1. Fully synthesizable dynamic voltage comparator (DVC): (a) NAND3-based input stage (NAND3-DVC) [10,11,12,13,14]; (b) NOR-based input stage (NOR3-DVC) [15,16]; (c) rail-to-rail DVC (RR-DVC) version; (d) truth table of the dual-input SR latch [15,16,17,18].
Figure 1. Fully synthesizable dynamic voltage comparator (DVC): (a) NAND3-based input stage (NAND3-DVC) [10,11,12,13,14]; (b) NOR-based input stage (NOR3-DVC) [15,16]; (c) rail-to-rail DVC (RR-DVC) version; (d) truth table of the dual-input SR latch [15,16,17,18].
Chips 04 00031 g001
Figure 2. Operation function referring only to the NAND3-DVC input stage [10,11,12,13,14] along with (a) transistor-level detail of the NAND-based input stage, (b) simplified schematic OUT1 commutation.
Figure 2. Operation function referring only to the NAND3-DVC input stage [10,11,12,13,14] along with (a) transistor-level detail of the NAND-based input stage, (b) simplified schematic OUT1 commutation.
Chips 04 00031 g002
Figure 3. Additional 2-input NAND gates and a timing capacitor that convert the dynamic voltage comparator in Figure 1a into a wake oscillator: (a,b) represent the same schematic.
Figure 3. Additional 2-input NAND gates and a timing capacitor that convert the dynamic voltage comparator in Figure 1a into a wake oscillator: (a,b) represent the same schematic.
Chips 04 00031 g003
Figure 4. Circuit analysis of the DLS inverter gate at (a) high and (b) low input [37,38].
Figure 4. Circuit analysis of the DLS inverter gate at (a) high and (b) low input [37,38].
Chips 04 00031 g004
Figure 5. DLS-logic gate-based wake-up oscillator in [28,29,30].
Figure 5. DLS-logic gate-based wake-up oscillator in [28,29,30].
Chips 04 00031 g005
Figure 6. DLS- and CMOS-logic performance comparison about the implementation of the wake-up oscillator in Figure 5: (a) frequency versus supply voltage (for temperature 27 °C); (b) frequency versus temperature (for VDD = 0.4 V); (c) power versus supply voltage (for temperature 27 °C); and (d) power versus temperature (for VDD = 0.4 V).
Figure 6. DLS- and CMOS-logic performance comparison about the implementation of the wake-up oscillator in Figure 5: (a) frequency versus supply voltage (for temperature 27 °C); (b) frequency versus temperature (for VDD = 0.4 V); (c) power versus supply voltage (for temperature 27 °C); and (d) power versus temperature (for VDD = 0.4 V).
Chips 04 00031 g006
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Aiello, O. On Standard Cell-Based Design for Dynamic Voltage Comparators and Relaxation Oscillators. Chips 2025, 4, 31. https://doi.org/10.3390/chips4030031

AMA Style

Aiello O. On Standard Cell-Based Design for Dynamic Voltage Comparators and Relaxation Oscillators. Chips. 2025; 4(3):31. https://doi.org/10.3390/chips4030031

Chicago/Turabian Style

Aiello, Orazio. 2025. "On Standard Cell-Based Design for Dynamic Voltage Comparators and Relaxation Oscillators" Chips 4, no. 3: 31. https://doi.org/10.3390/chips4030031

APA Style

Aiello, O. (2025). On Standard Cell-Based Design for Dynamic Voltage Comparators and Relaxation Oscillators. Chips, 4(3), 31. https://doi.org/10.3390/chips4030031

Article Metrics

Back to TopTop