Next Article in Journal
The Influence of H Content on the Properties of a-C(W):H Coatings
Previous Article in Journal
Surface and Tribological Properties of Powder Metallurgical Cp-Ti Titanium Alloy Modified by Shot Peening
Previous Article in Special Issue
A Study on the Characteristics of Inductively Coupled Plasma Nitridation Process
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Spectroscopic Analysis of NF3 Plasmas with Oxygen Additive for PECVD Chamber Cleaning

Department of Electronics Engineering, Myongji University, Yongin 17058, Republic of Korea
*
Author to whom correspondence should be addressed.
Coatings 2023, 13(1), 91; https://doi.org/10.3390/coatings13010091
Submission received: 31 October 2022 / Revised: 26 December 2022 / Accepted: 29 December 2022 / Published: 3 January 2023
(This article belongs to the Special Issue New Advances in Plasma Deposition and Its Applications)

Abstract

:
As semiconductors’ device fabrication is highly integrated, the number of the deposition processes is continuously increasing, and the chamber cleaning process becomes essential for deposition equipment to maintain a normal chamber condition. Although the use of NF3 gas for the chamber cleaning is common, it causes several environmental and safety issues. However, not much research has been performed on NF3 plasma at high pressures, such as in cleaning processes. To understand fluorine in NF3, herein, oxygen was added to N2 and NF3 plasma and then compared. Plasma emission spectra were compared using an OES data, and their analyses were performed via a line-ratio method employing the collisional-radiative model. As a result confirmed that the changes in electron temperature, electron density, and chemical species in the plasma could be explained. Additionally, the characteristics of NF3 plasmas with respect to fluorine were confirmed by comparing the oxygenated N2 plasma and the NF3 plasma.

Graphical Abstract

1. Introduction

Demands for larger storage capacitors shifted semiconductor devices from a 2D planar structure to a 3D vertical structure, and ongoing issues in fabrication and the device design process remain a challenge [1]. The fabrication of 3D-NAND flash employs a single plasma-enhanced chemical vapor deposition (PECVD) chamber to deposit multiple oxide/nitride layer of the dielectric gate stack. However, measuring the thickness of the multiple dielectric layers is still challenging [2]. The deposition of multiple layers also increases the film thickness on the PECVD chamber wall to cause an extended time for the chamber cleaning with a nitrogen trifluoride (NF3) remote plasma system, and an effort to investigate alternative gases for plasma chamber cleaning was presented because of the potent greenhouse effect of NF3 [3,4,5].
NF3 gas is mainly used with Ar or O2 gas for chamber cleaning. The dissociation of NF3 into NFx + F → NFx + 2|x = 1, 2 can be explained by electron impact dissociation in plasma chemistry. Dilution of Ar in NF3 also yields Ar+ + NF3 → Ar + F + NF 2 + [4]. The ionization energy of O2 (12.07 eV) is lower than that of NF3 (~14 eV), and a large fraction of O 2 + with a small fraction of O+ in the plasma was observed. Therefore, O2 acts as an energy sink, inhibiting reactions with the production of neutral and ionic fluorine species from NFx. We can postulate that the mechanism of the surface material in chamber cleaning is similar to etching the wafer surface with a remote plasma system [6,7]. The use of NF3 in remote plasma is not limited to PECVD chamber cleaning. Still, the feasibility of applying the etch process is continuously investigated. Selective etching of silicon nitride using NH3/NF3 remote plasma was investigated, and the increase in NF3 flow in an NF3/NH3 gas mixture is more efficient for etching than that in an NH3 flow [8]. The reaction between NF3/NH3 remote plasma exposure and the SiN* film forms an ammonium hexafluorosilicate, (NH4)2SiF6. The role of NO in SiN etching over SiO2 and Si substrate with NF3/O2 remote plasma was investigated [9]. With relatively small activation energy, oxynitride (NO) increases the rate of fluorine migration from the nitrogen atom to the silicon atom on the silicon nitride surface. Thus, high etch selectivity can be achieved in SiN over SiO2. In the Kushner group’s subsequent study on SiN etching with NF3/O2/Ar remote plasma, a remote plasma source was used to produce fluxes of radicals for low substrate damage in etching processing [10]. The etch rate was increased along with the increase of NO molecules and N atoms. The electron impact dissociation produces N atoms of NO and NFx using the increased electron density. More detailed investigations on the plasma source and surface reaction of NF3/N2/O2/H2 remote plasma in highly selective Si3N4/SiO2 were performed for success in a 3D-NAND flash high aspect ratio etch [11,12]. It was discovered that the reaction of hydrogen with a fluorine radical dissociated in NF3 plasma takes an important role in the selective etch of Si3N4 over SiO2. Researchers from the Kushner group at the University of Michigan and the Samsung Electronics R&D Center recently reported a series of investigations on NF3 remote plasma [10,11,12]. The reference research explained the high selectivity with HF in the first vibrationally excited state.
PECVD chamber cleaning is the removal of the surface using NF3 remote plasma, and concerns about the corrosive effects of NF3 on chamber-coating ceramic materials were discussed [13]. Ootomo et al. filed a patent on corrosion-resistant materials and their application to electrostatic chuck [14]. Contamination particle and plasma corrosion behaviors of chamber walls with ceramic-coated materials by aerosol deposition have been reported [15,16]. A fluorine-based plasma resistant to O-rings elastomer was recently investigated [17]. Expert Market Research reported that Asia would take 88% of the worldwide PFC market in 2019 because Asia heavily emphasizes the semiconductor/display manufacturing industry. Fluorine-based cold plasmas, including SF6, CF4, CHF3, and C4F8, have been prevailing worldwide in the etching/cleaning of materials in the semiconductor/display manufacturing industry over the past 40 years worldwide [18,19], and the use of plasma with fluorine-based gases continues to overcome environment safety challenges. NF3 is a toxic perfluoro compound (PFC) and a potential global warming gas, but NF3 was not blanketed in the Kyoto Protocol [20]. NF3 is a synthetic inorganic chemical manufactured by the reaction of hydrogen fluoride (HF) and ammonia (NH3), and it emerged as a replacement for hexafluoroethane (C2F6) as a “chamber cleaning gas” in the semiconductor/display industry due to its low cost as well as its absence from the Kyoto Protocol.
Fluorine-based compounds are essential in cleaning and etching processes during semiconductor manufacturing. Depending on the environmental issues, the use of SF6 has been restricted, and NF3 gas has still been used recently. It has the risk of corrosion and the possibility of receiving other environmental agents [21]. However, there are few studies on NF3 plasma under high-pressure conditions such as cleaning processes. As a result, this research aims to comprehend plasma containing fluorine by monitoring and using the comparative analysis of N2 plasma and NF3 plasma. As a test vehicle for spectroscopic analysis of the PECVD chamber cleaning gases, we investigated the plasma glow discharge during the PECVD chamber cleaning process using optical emission spectroscopy (OES).

2. Experimental Apparatus

We performed two sets of experiments with a nitrogen-based oxygen mixture (O2/N2) and NF3-based oxygen mixture (O2/NF3) to understand plasma in the deposition pressure regime of a few Torrs. A schematic diagram of the employed PECVD system is shown in Figure 1. As suggested above, O2, N2, and NF3 gases were used, and the gas flow rate was controlled using a mass flow controller (MFC). Gases are injected into the showerhead through the gas line connected to the chamber. In this experiment, we used a 13.56 MHz RF-powered capacitively coupled plasma (CCP)–PECVD system for 6-inch wafer processing, manufactured by Plasmart, Daejeon, Korea. The system consists of a programmable logic controller-controlled gas delivery system with an automatic pressure controller, a metal heating chuck for wafer heating up to 400 °C, and a larger rotary pump for vacuum control. An OES sensor was used to collect the plasma light during the process. OES helps to understand the plasma chemistry noninvasively by observing through a viewport outside the chamber in a vacuum system [22]. Particles in the plasma are excited by receiving the applied energy to gas species in the chamber and then fall to a lower energy state by yielding photon energy. Gas-phase atoms and molecules have energy levels, and the emitted light during the energy-releasing process is presented as a specific wavelength of the emitted light. OES captures light from the plasma via an optical cable and displays a spectrum of the collected emission discharge. It is used to explain the chemical composition and reaction mechanism of the plasma through the presence of substances corresponding to the wavelength [23,24,25,26]. An optical fiber-guided spectrometer, SM-440, manufactured by Korea Spectral Products, Seoul, Korea, with 90 cm of multicore silica optical fiber was used to collect the plasma emission discharge via a sidewall viewport of the PECVD system, and we ensured that none of the multicore fibers were broken before taking the emission spectroscopy data. We used our homemade semiconductor process diagnosis research center in Myongji University, Yongin, Korea, OES operational software (v.13.10.06), for the data acquisition and analysis as presented in Figure 2.
The purpose of this research using the PECVD system is not for deposition of the thin film but to monitor and analyze N2 and NF3 plasma with OES. Because NF3 is a well-known chamber-cleaning gas, we included 2 × 2 cm2-sized ACL-deposited coupons on a 6-inch dummy wafer to see the result of the plasma condition with the variation of the oxygen contents beside the spectroscopic study of the plasma. A commercial PECVD system prepared ACL samples on 300 mm silicon wafers. Two sets of experiments were conducted with oxygen gas ratios of 0%, 20%, 50%, and 80% in nitrogen and trifluoride. Total gas flow rates of N2 and NF3 mixed with O2 were set to be 50 sccm in both cases, with the addition of 2 sccm of argon for chemical actinometry. Before performing the experiments, we collected OES data with oxygen gas only in the same experimental condition to have an uncorrelated oxygen plasma discharge data set with nitrogen-related species as a reference for the following spectroscopic data analysis. The equipment setup was 300 °C of heating chuck temperature, 300 W of RF power, and 1000 mTorr of base pressure. The experimental conditions are summarized in Table 1.
A series of plasma processes were performed simultaneously with in situ monitoring of the plasma glow discharge, and the spectroscopy data were stored in a local computer. Spectroscopic data collected from the plasma glow discharge can be used to determine the reaction with internal chemicals and substances. It also helps to understand the behavior of fluorine by analyzing plasma information (PI) such as electron temperature and density. The line-ratio method calculates PI from OES data [29,30,31]. OES is a qualitative data acquisition sensor with a wide variety of spectral information, making quantitative analysis difficult. This research employs Ar actinometry and the line-ratio approach to attempt quantitative analysis utilizing OES data. The degree of transition from the ground state to the excited state varies depending on the material, causing the intensity of light to shift [32]. The plasma intensity ‘I’ for the excited state x is expressed as following Equations (1)–(3) [33]. Here, n x represents the population density of a species in this state. A x represents the Einstein A coefficient.
I x = A x   ·   n x
I x = n e   ·   n x   ·   Q e x c
Q e x c = E t h σ e x c   ·   2 E e m e   ·   g e ( E e ) d E e = E t h σ e x c   ·   2 m e · E e   ·   g p ( E e ) d E e
I x 1 I x 2 n x 1 n x 2
The method devised here to obtain the radical density through the light emission intensity is the actinometry method in Equation (4). A minimal amount of inert argon gas must be injected into the plasma. It is assumed that the inert gas does not change the characteristics of the plasma, and at this time, the density of Ar is kept constant. The light emission of Ar is used as a measure of plasma excitation efficiency. The density of radicals can be obtained by dividing the light emitted by the radicals in the plasma and the light emitted by argon. By dividing two lines with similar threshold energies, the relative distribution of density can be confirmed. Although this method cannot measure the exact density, it can approximately check the density of radicals in the plasma. Q e x c is the excitation rate coefficient from the ground state, E e is the electron kinetic energy, E t h is the excitation threshold energy, σ e x c is the excitation cross-section, m e is the electron mass, g e is the EEDF, g p is the electron energy probability function (EEPF) and is related to the EEDF, and g e by g e ( E e ) = E e 1 / 2 g p ( E e ).
The line-ratio method was used to obtain the electron temperature and electron density, which are plasma information [34]. We augmented 2 sccms of Ar to the gas chemistry to apply the line-ratio method for the spectroscopic analysis. A small amount of Ar allows PI to be obtained without affecting the process since it is an inert gas. The line-ratio method can be applied to corona discharge and CRMs. Still, the pressure regime should be considered to employ a proper plasma model. Because the corona model is based on the exited species of the solar corona, it can be used under very low pressure (<1 Pa) and low ionization ratio (<10−5) conditions and has low electron density and high electron temperature. CRM can be used at relatively high pressure (1–10 Pa or >10 Pa) and high ionization ratio (>10−5) conditions. It is also suitable for high electron density and low electron temperature. Because the corona model has a very low electron density (<10−5 cm−3) and a high electron temperature (~10 eV), electron impact ionization from ground-state species and spontaneous radiation from the excited states are the most important processes [35]. However, the CRM with high electron density and low electron temperature includes more diverse processes, such as excitation processes from metastable or excited states and the atom–atom collision processes, than the corona model [36]. In this research, the argon CRM was simplified and utilized since the process was performed at 1 Torr (~133 Pa).
In plasma, electrons have the energy to trigger various reactions and are directly related to the efficiency and speed of the deposition or etching process. Electron temperature describes the electron’s energy. Because the corona model is dominated by the electron impact excitation process ( e + R g e + R p ) and spontaneous radiation ( R P R r + ν ), a pair of excitation levels can be used to find the electron temperature and density. Expressed as Q, the rate coefficient of an electron impact process, using the rate balance equation [35].
Q = 0 σ ( E e )   ·   2 E e m e   ·   g e ( E e ) d E e
E e is the electron kinetic energy, m e is the electron mass, g e is the EEDF, and σ is the cross-section of the relevant electron impact transition. However, in the CRM, high-lying ns or nd levels (resonant levels R hr and non-resonant levels R hn ) are considered in addition to the excitation process of the corona model. In addition to the excitation process, as radiation and transition processes are added, spontaneous radiation from np levels to resonance levels ( R r ) and metastable levels, cascade transitions between high-lying levels and np levels, and resonance radiation from high-lying levels to the ground state are considered. However, because it is difficult to consider all responses, only the responses when the np level is J = 0 are considered to simplify the formula. At np level with J = 0 , electric dipole transitions to an ns or nd resonance level with J = 1 are possible. However, because transitions at metastable or non-resonant ns or nd levels with J 0 are forbidden, the relevant terms can be neglected. As a result, when J = 0 , Q the total excitation rate coefficient is as follows in Equation (6) [36].
Q , p = K 0 ( T e ) C 0 exp ( E 0 / T e )
Q , p is the sum of Q g p (ground state to np levels) and Q g hr (ground state to high-lying ns and nd levels (resonance levels)). The constants K 0 (volume-averaged diffusion-controlled reaction coefficients), C 0 (excitation rate coefficient ratio), and E 0 (activation energy) can be found in Table 2. The rate equation for the reaction is written as Equation (7) [36].
n e n g Q , p = A p n p ( 1 + n e / n eC , p )
n e is the electron density, n g is gas density, A p is its total Einstein coefficient, n eC , p is the characteristic density of electron impact transition, and n p is the population density of species in this state. The plasma emission intensity is expressed as a line ratio in Equation (8) [36]. The intensity is expressed as r b   ·   A p n p , where r b is the branching ratio.
r I = I 1 I 2 = r b 1   ·   A p 1 n p 1 r b 2   ·   A p 2 n p 2 = r b 1   ·   n g 1 Q , p 1 r b 2   ·   n g 2 Q , p 2   ·   1 + n e n eC . p 2 1 + n e n eC , p 1                = r b 1   ·   n g 1   ·   K 0 1   ·   ( T e ) C 0 1 r b 2   ·   n g 2   ·   K 0 2   ·   ( T e ) C 0 2   ·   ( 1 + n e n eC . p 2 ) ( 1 + n e n eC , p 1 ) exp ( E 0 2 E 0 1 ) / T e
Formula   for   T e ( n e n eC , p   o r   n eC , p 1 n eC . p 2 )   r I = I 1 I 2 = r b 1   ·   n g 1   ·   K 0 1   ·   ( T e ) C 0 1 r b 2   ·   n g 2   ·   K 0 2   ·   ( T e ) C 0 2   ·   exp ( E 0 2 E 0 1 ) / T e
The Paschen 2p level can be used to find the electron temperature. For Ar 2p levels, electron impulse excitation and spontaneous emission by high-energy electrons dominate. The formula may not take the electron density into account because it shows a very weak dependence on the electron density. If the characteristic density of the electron impact transition is much greater than the electron density ( n e n eC , p ) or if the characteristic densities of the electron impact transitions of the two selected lines are similar ( n eC , p 1 n eC . p 2 ), the term of the electron density can be eliminated and the electron temperature can be expressed as shown in Equation (9). We decided to apply the wavelength of 2p1, 2p5 level ( J = 0 ) of the Ar 2p level emission line, which is the strongest emission line to Ar plasma, to the model. In the case of electron density, high-lying lines of 3p, 4p, and 5p are used at higher levels with less dependence on electron temperature. The terms related to the electron temperature can be eliminated by using a similar level of activation energy ( E 0 2 E 0 1 ) and excitation rate coefficient ratio ( C 0 1 C 0 2 ). Alternatively, the electron density can be calculated by substituting the calculated electron temperature into Equation (8). In this paper, 3p5 was selected and 2p1 was used with a similar activation level. Although the calculated electron temperature and electron density are not exact values, they can explain the changes in the plasma because they reflect the trends of the electron temperature and density.

3. Results and Discussion

We first performed O2 plasma monitoring by adding a minute amount, 2 sccm of Ar, to obtain a single oxygen plasma emission spectrum; however, we acquired a similar result from N2 + O2 plasma, and the observation of nitrogen species in oxygen plasma can be explained by either the influence of the residual nitrogen species from the previous experiment or the chamber leak, as shown in Figure 2a. It is ideal to maintain the equipment in a perfect vacuum condition, but it is practically difficult to be free from a small number of chamber leaks in a vacuum system. One might think that the spectroscopic analysis of the proposed plasma observation experiment is not clear because the molecules in the air mostly consist of nitrogen, oxygen, argon, etc. However, the number of airborne molecules is limited without the change in the molecular composition ratio. The optical emission intensity of the N2 peak observed in Figure 2b did not increase even after the plasma was saturated. Therefore, we conducted an experiment considering that a small number of airborne molecules inside the chamber induced a small amount of chamber leak.
To better understand OES data acquired from the plasma process, the plasma light emissions of N2 and the N2 + O2 mixture were investigated as a reference of the spectrum information. In the case of the N2 plasma, the light emission from molecules and atoms was observed. The mainly observed 337 to 380 nm band was the N2 second positive system (C3Πu), produced by many excitations and quenching processes (associative excitation, pooling reactions, transfer of energy between collisional partners, and penning excitation), such as electron impact excitation in the molecular ground state ( X 1 g + ) and the first metastable state ( A 3 g + ) [37]. The emission of N 2 + negative system ( B 2 u + ) at 391.4 nm was also observed [38,39]; 700–900 nm is the nitrogen atomic region generated by electron impact dissociation (N2 + e → 2N + e). The N2 first positive system ( B 3 g ) emission from 550 to 700 nm was also observed. Light emission by the additional Ar was also confirmed at 750.3 nm. The observed N2 plasma emission spectrum is shown in Figure 3.
When N2 and O2 are mixed, O2-related peaks can be observed, as shown in Figure 4a–c. The second and first positive and first negative systems observed in the N2 single gas plasma were the same, and atomic 777.3 nm (3p5P → 3s5S) and 844.7 nm (3p5P → 3s5S) O peaks were observed from O2. It can be seen that as the amount of O2 injection increased, the N2 related peak (318.5 nm, 337.1 nm, 357.7 nm) decreased and the O2 related peak (777.3 nm, 844.7 nm) increased. A small change in peak intensity was observed, depending on the number of mixed gases, but some peaks were still optically saturated (315.8 nm, 337.1 nm, and 357.7 nm). An increase in the amount of O2 gas was observed as an increase in the associated radical. However, in order to confirm the change of the actual radical, the Ar photometric method was used. Ar actinometry divided the peaks of radicals to be identified using the 750.4 nm peak.
In Figure 5, the changes in the main peaks of N2 + O2 plasma with Ar actinometry are confirmed. The densities of major peaks can be inferred through Ar actinometry. As the mixed O2 gas flow rate increases, the highly reactive atomic O 777.3 and 844.7 nm peaks intensity increase. Conversely, the intensity of N2 at the 375.5 and 380.3 nm peaks and N 2 + at the 391.3 nm peak was decreased. The wavelength at 337.1 nm was mostly saturated, and the actinometry could not be applied. It was no longer saturated and decreased peak intensity when 80% of O2 was added. It is assumed that the N2 excitation decreased because some electrons were used to excite O2 when the oxygen gas was mixed. For N2 at 318.5 nm, a slight increase was captured. The O2 gas increased, the amount of N2 gas decreased, and the absolute amount of N2 decreased. However, the increase in only 318.5 nm of the N2 peak can be seen as an increase in the excitation of the band of the second positive system among various excitation processes of N2. The excitation energy of the N2 band of the second positive system (318.5 nm) was about 11–12 eV, and the excitation energy of N2 band of the first negative system (391.3 nm) was about 18 eV, so a process with low excitation energy occurs easily [37]. Oxygen is also an electronegative gas, and some electrons are consumed to form O 2 through three-body attachment. This can lead to a decrease in electron density as the oxygen gas flow rate increases.
In the case of NF3 plasma, the spectrum is very similar to that of N2 plasma. The presence of the N2 second positive system at 300–400 nm and the N2 first positive system at the 550–700 nm bands can be confirmed in Figure 6.
This was also observed in N2 plasma. The difference from N2 plasma was the F peak of 703.7 and 712.9 nm and its lower intensity than N2 plasma. In addition, the intensity of the atomic nitrogen band region decreased, and Ar peaks at 750.4 and 811.5 nm were observed more clearly. The dissociation of NF3 plasma and the observation of molecular N2 band as well as atomic nitrogen band is assumed to have been produced by the recombination and reionization of dissociated N atoms as in reaction (10)–(13) [40,41].
NF→N + F
N→N+ + e
2N→N2
N 2 N 2 + + e
When O2 was added to NF3 plasma, O 777.3 and 844.7 nm peaks were observed from O2 dissociation and the intensity of the previously saturated N2 second positive system peak was lowered, as shown in Figure 7. When the mixing amount of O2 was >80%, the N2 and F peaks were greatly reduced and the O peak increased, but the overall light emission sensitivity also was decreased. When the flow rate of O2 increased in NF3/O2 gas mixture while the power and pressure were still maintained, we observed the decreased optical emission intensities of N2-related peaks via Ar actinometry, as shown in Figure 8.
As the mixed O2 gas flow rate increased, the O atom peaks at 777.3 and 844.7 nm were increased, and the N2 peaks at 375.5 and 380.3 nm and the N 2 + peak at 391.3 nm were also decreased. A slight increase was seen at N2 318.5 nm. As explained with the N2 +O2 plasma, this likely occurred because the excitation energy of N2 318.5 nm was lower than other peaks’ excitation energy. It was also observed that F 703.7 nm increased and then decreased, and F 712.9 nm decreased as O2 increased. In the case of the F peak, it was caused by various dissociation processes of NF3. According to reference, NF3 dissociation starts at 11 eV [42]. This may increase the probability of occurrence at energy levels similar to that of N2 318.5 nm.
The electron temperature and electron density were calculated using Equations (8) and (9). Data were collected for 60 seconds, one per second after the plasma was created, and expressed as error bars to confirm the change. The calculated electron temperature is shown in Figure 9a. The electron temperature of both N2 and NF3 decreased as the amount of oxygen added increased. Relatively, it seems that the electron temperature at NF3 was slightly higher than that at N2. Figure 9b shows the electron density. In the case of electron density, N2 was confirmed to be higher than NF3, and the electron density decreased when O2 was added. As more O2 was added, the electron density of N2 + O2 plasma tended to decrease slightly, but there was no significant difference. In the case of NF3, the electron density decreased when oxygen was added, and no change in electron density was observed when O2 was added by 50% or more. When oxygen is added, the electron temperature decreases in both N2 and NF3 gases and the electron density also tends to decrease.
By mixing O2 with each N2 and NF3 gas, plasma was monitored and analyzed using OES. The OES spectrum of each plasma of N2 and NF3 was very similar. In both the N2 and NF3 spectra, an N2 band form was observed, which is thought to be because N atoms separated as NF3 was ionized to form N2 again through recombination. The distinguishing point between the NF3 and N2 plasma was the presence of an F peak. Additionally, the light emission intensity of the NF3 plasma was lower than that of the N2 plasma. As O2 was added, the light emission intensity of N2 and NF3 decreased, so it can be assumed that the energy in the plasma decreased. Actually, the electron temperature tends to decrease little by little for both N2 and NF3. In the case of electron density, N2 increases and decreases, and NF3 decreases. The lower electron density of NF3 than that of N2 was expected because of the high electronegativity of fluorine, which attracts electrons and does not release them again. When oxygen was added, the electron density slightly decreased, but when > 50% is added, the electron density did not change. It is assumed that oxygen is highly electronegative and does not lose many electrons to fluorine because it has six valence electrons. NF3 plasma was confirmed to have a lower electron density compared with N2 plasma, and this was judged to be due to the high electronegativity of fluorine. It can be expected that the overall chemical reaction in the plasma is reduced due to the decrease in electron temperature and electron density, but as a result of actinometry analysis, it was confirmed that radicals with relatively low excitation energy can be generated a small amount.

4. Conclusions

Recently, as the use of NF3 gas in the semiconductor chamber cleaning process increases, problems such as global warming and chamber corrosion are also emerging. Additionally, NF3 gas is not limited to chamber cleaning, and its usability in the etching process is being investigated. If the usage of NF3 is unavoidable, it is important to comprehend and manage it effectively to stop its misuse. In this study, plasmas in which N2 and NF3 gases were mixed with O2 gas were compared. During the experiment, plasma monitoring was performed using OES, and chemical reactions in the plasma were analyzed. Additionally, the PI factor was extracted using the CRM method. N2 and NF3 plasma showed a similar appearance in the spectrum, but there was a difference in the F peak. On the addition of oxygen, the electron temperature of both N2 and NF3 decreased, and the electron temperature of NF3 became slightly higher. In the case of electron density, however, there was no significant difference, but the electron density of NF3 slightly lowered due to the high electronegativity of F. However, it was confirmed that the addition of oxygen with high electronegativity could change the electron temperature and density.

Author Contributions

Conceptualization, S.J.H.; experiment and analysis, S.A.; writing—original draft preparation, S.A.; writing—review and editing, S.J.H.; visualization, S.A.; funding acquisition, S.J.H. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by R&D Program of “Development and demonstration of intelligent technology for semiconductor plasma processing equipment (GID: 1711121944)” through the Korea Institute of Fusion Energy (KFE) funded by the Government funds.

Institutional Review Board Statement

Not applicable for studies not involving humans or animals.

Informed Consent Statement

Not applicable for studies not involving humans.

Data Availability Statement

The data presented in this study are available on request from the corresponding author. The data are not publicly available due to the restriction of the equipment supplier.

Acknowledgments

The authors are grateful to TES Co., Ltd. for the technical discussions on PECVD deposition and cleaning process.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Kim, H.; Ahn, S.-J.; Shin, Y.G.; Lee, K.; Jung, E. Evolution of NAND Flash Memory: From 2D to 3D as a Storage Market Leader. In Proceedings of the 2017 IEEE International Memory Workshop (IMW), Monterery, CA, USA, 14–17 May 2017. [Google Scholar] [CrossRef]
  2. Choi, J.E.; Song, J.; Lee, Y.H.; Hong, S.J. Deep Neural Network Modeling of Multiple Oxide/Nitride Deposited Dielectric Films for 3D-NAND Flash. Appl. Sci. Conv. Technol. 2020, 29, 190–194. [Google Scholar] [CrossRef]
  3. Bruno, G.; Capezzuto, P.; Cicala, G.; Manodoro, P. Study of the NF3 Plasma Cleaning of Reactors for Amorphous Silicon Deposition. J. Vac. Sci. Technol. A 1994, 12, 690–698. [Google Scholar] [CrossRef]
  4. Hsueh, H.; Mcgrath, R.T.; Ji, B.; Felker, B.S.; Langan, J.G.; Karwacki, E.J. Ion Energy Distributions and Optical Emission Spectra in NF3-based Process Chamber Cleaning Plasmas. J. Vac. Sci. Technol. B 2001, 19, 1346–1357. [Google Scholar] [CrossRef]
  5. Yang, K.; Park, S.; Yeom, G. Low Global Warming Potential Alternative Gases for Plasma Chamber Cleaning. Sci. Adv. Mater. 2016, 8, 2253–2259. [Google Scholar] [CrossRef]
  6. Lucovsky, G.; Tsu, D.V. Plasma Enhanced Chemical Vapor Deposition: Differences between Direct and Remote Plasma Excitation. J. Vac. Sci. Technol. A 1987, 5, 2231–2238. [Google Scholar] [CrossRef]
  7. Chen, X.; Holber, W.; Loomis, P.; Sevillano, E.; Shao, S.Q. Advances in Remote Plasma Sources for Cleaning 300 mm and Flat Panel CVD Systems. Semicond. Mag. 2003. [Google Scholar]
  8. Posseme, N.; Ah-Leung, V.; Arvet, O.P.; Garcia-Barros, M. Thin Layer Etching of Silicon Nitride: A Comprehensive Study of Selective Removal Using NH3/NF3 Remote Plasma. J. Vac. Sci. Technol. A 2016, 34, 061301. [Google Scholar] [CrossRef]
  9. Barsukov, Y.; Volynets, V.; Lee, S.; Kim, G.; Lee, B.; Nam, S.K.; Han, K. Role of NO in highly selective SiN/SiO2 and SiN/Si etching with NF3 /O2 remote plasma: Experiment and simulation. J. Vac. Sci. Technol. A 2017, 35, 061310. [Google Scholar] [CrossRef]
  10. Huang, S.; Volynets, V.; Hamilton, J.R.; Nam, S.K.; Song, I.; Lu, S.; Tennyson, J.; Kushner, M.J. Downstream Etching of Silicon Nitride Using Continuous-wave and Pulsed Remote Plasma Sources Sustained in Ar/NF3/O2 Mixtures. J. Vac. Sci. Technol. A 2018, 36, 21305. [Google Scholar] [CrossRef]
  11. Volynets, V.; Barsukov, Y.; Kim, G.; Jung, J.; Nam, S.K.; Han, K.; Huang, S.; Kushner, M.J. Highly Selective Si3N4/SiO2 Etching Using an NF3/N2/O2/H2 Remote Plasma. I. Plasma Source and Critical Fluxes. J. Vac. Sci. Technol. A 2020, 38, 023007. [Google Scholar] [CrossRef]
  12. Jung, J.; Barsukov, Y.; Volynets, V.; Kim, G.; Nam, S.K.; Han, K.; Huang, S.; Kushner, M.J. Highly Selective Si3N4/SiO2 Etching Using an NF3/N2/O2/H2 Remote Plasma. II. Surface Reaction Mechanism. J. Vac. Sci. Technol. A 2020, 38, 023008. [Google Scholar] [CrossRef]
  13. Song, J.; Kim, J.; Oh, S.; Yun, J. Contamination Particles and Plasma Etching Behavior of Atmospheric Plasma Sprayed Y2O3 and YF3 Coatings under NF3 Plasma. Coatings 2019, 9, 102. [Google Scholar] [CrossRef] [Green Version]
  14. Ootomo, M.; Takahashi, K.; Hidaka, N.; Kugimoto, H. Corrosion-Resistant Member, Member for Electrostatic Chuck, and Process for Producing Corrosion-Ressitant Member. U.S. Patent 10 497 599 B2, 3 December 2019. [Google Scholar]
  15. Song, J.; Choi, E.; Oh, S.G.; Kim, J.T.; Yun, J. Contamination Particle Behavior of Aerosol Deposited Y2O3 and YF3 Coatings under NF3 Plasma. Coatings 2019, 9, 310. [Google Scholar] [CrossRef] [Green Version]
  16. Ashizawa, H.; Masakatsu, K.; Yoshida, K. Microstructure and Plasma Corrosion Behavior of Yttria Coatings Prepared by the Aerosol Deposition Method. J. Am. Ceram. Soc. 2020, 103, 7031. [Google Scholar] [CrossRef]
  17. Goto, T.; Obara, S.; Shimizu, T.; Inagaki, T.; Shirai, Y.; Sugawa, S. Study on CF4/O2 Plasma Resistance of O-ring Elastomer Materials. J. Vac. Sci. Technol. A 2020, 38, 13002. [Google Scholar] [CrossRef]
  18. Cardinaud, C. Fluorine-based Plasmas: Main Features and Application in Micro-and Nanotechnology and in Surface Treatment. Comptes Rendus Chim. 2018, 21, 723–739. [Google Scholar] [CrossRef]
  19. Allgood, C.C. Fluorinated Gases for Semiconductor Manufacture: Process Advances in Chemical Vapor Deposition Chamber Cleaning. J. Fluor. Chem. 2003, 122, 105. [Google Scholar] [CrossRef]
  20. Tsai, W. Environmental and Health Risk Analysis of Nitrogen Trifluoride (NF3), a Toxic and Potent Greenhouse Gas. J. Haz. Mater. 2008, 159, 257–263. [Google Scholar] [CrossRef]
  21. Raoux, S.; Tanaka, T.; Bhan, M.; Ponnekanti, H.; Seamons, M.; Deacon, T.; Xia, L.-Q.; Pham, F.; Silvetti, D.; Cheung, D.; et al. Remote Microwave Plasma Source for Cleaning Chemical Vapor Deposition Chambers: Technology for Reducing Global Warming Gas Emissions. J. Vac. Sci. Technol. B 1999, 17, 477–485. [Google Scholar] [CrossRef]
  22. Kang, G.; An, S.; Kim, K.; Hong, S. An In Situ Monitoring Method for PECVD Process Equipment Condition. Plasma Sci. Technol. 2019, 21, 64003. [Google Scholar] [CrossRef]
  23. Kolpaková, A.; Kudrna, P.; Tichý, M. Study of Plasma System by OES (Optical Emission Spectroscopy). In Proceedings of the 20th Annual Conference of Doctoral Students, WDS’11 “Week of Doctoral Students”, Prague, Czech Republic, 31 May–3 June 2011; pp. 180–185. [Google Scholar]
  24. Heil, S.B.S.; Langereis, E.; Roozeboom, F.; van de Sanden, M.C.M.; Kessels, W.M.M. Low-Tempearature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition. J. Electrochem. Soc. 2006, 153, G956–G965. [Google Scholar] [CrossRef] [Green Version]
  25. Lee, H.J.; Seo, D.; Hong, S.J.; May, G.S. PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data. Trans. Electric. Electron. Mater. 2013, 14, 254–257. [Google Scholar] [CrossRef] [Green Version]
  26. Lee, S.; Jang, H.; Kim, Y.; Kim, S.J.; Chae, H. Sensitivity Enhancement of SiO2 Plasma Etching Endpoint Detection Using Modified Gaussian Mixture Model. Trans. Semicond. Manufacturing. 2020, 33, 252–257. [Google Scholar] [CrossRef]
  27. Rezaei, F.; Abbasi-Firouzjah, M.; Shokri, B. Investigation of antibacterial and wettability behaviours of plasma-modified PMMA films for application in ophthalmology. J. Phys. D Appl. Phys. 2014, 47, 085401. [Google Scholar] [CrossRef]
  28. Kregar, Z.; Biscan, M.; Milosevic, S.; Vesel, A. Monitoring Oxygen Plasma Treatment of Polypropylene With Optical Emission Spectroscopy. IEEE Trans. Plasma Sci. 2011, 39, 1239–1246. [Google Scholar] [CrossRef]
  29. Schabel, M.J.; Donnelly, V.M.; Kornblit, A.; Tai, W.W. Determination of Electron Temperature, Atomic Fluorine Concentration, and Gas Temperature in Inductively Coupled Fluorocarbon/rare Gas Plasmas Using Optical Emission Spectroscopy. J. Vac. Sci. Technol. A 2002, 20, 555–563. [Google Scholar] [CrossRef]
  30. Kogelheide, F.; Offerhaus, B.; Bibinov, N.; Krajinski, P.P.; Schücke, L.; Schulze, J.J.; Stapelmann, K.; Awakowicz, P.P. Characterisation of Volume and Surface Dielectric Barrier Discharges in N2-O2 Mixtures Using Optical Emission Spectroscopy. Plasma Process. Polym. 2019, 17, 1900126. [Google Scholar] [CrossRef]
  31. Kaupe, J.; Riedl, P.; Coenen, D.; Mitic, S. Temporal Evolution of Electron Density and Temperature in Low Pressure Transient Ar/N2 Plasmas Estimated by Optical Emission Spectroscopy. Plasma Sources Sci. Technol. 2019, 28, 65012. [Google Scholar] [CrossRef] [Green Version]
  32. Li, H.; Zhou, Y.; Donnelly, V.M. Optical and Mass Spectrometric Measurements of Dissociation in Low Frequency, High Density, Remote Source O2/Ar and NF3/Ar Plasmas. J. Vac. Sci. Technol. A 2020, 38, 023011. [Google Scholar] [CrossRef]
  33. Chung, C.W. Plasma Electronics, 2nd ed.; Gyomoon: Paju-si, Republic of Korea, 2017; pp. 189–190. [Google Scholar]
  34. Zhu, X.-M.; Pu, Y.-K. Using OES to determine electron temperature and density in low-pressure nitrogen and argon plasmas. Plasma Sources Sci. Technol. 2008, 17, 024002. [Google Scholar] [CrossRef]
  35. Zhu, X.M.; Pu, Y.K. Optical Emission Spectroscopy in Low-temperature Plasmas Containing Argon and Nitrogen: Determination of the Electron Temperature and Density by the Line-ratio Method. J. Phys. D Appl. Phys. 2010, 43, 403001. [Google Scholar] [CrossRef]
  36. Zhu, X.M.; Chen, W.C.; Li, J.; Pu, Y.K. Determining the Electron Temperature and the Electron Density by a Simple Collisional–radiative Model of Argon and Xenon in Low-pressure Discharges. J. Phys. D Appl. Phys. 2009, 42, 025203. [Google Scholar] [CrossRef]
  37. Qayyum, A.; Zeb, S.; Naveed, M.; Rehman, N.; Ghauri, S.; Zakaullah, M. Optical Emission Spectroscopy of Ar-N2 Mixture Plasma. J. Quant. Spectrosc. Radiat. Transf. 2007, 107, 361. [Google Scholar] [CrossRef]
  38. Carrère, H.; Arnoult, A.; Ricard, A.; Marie, X.; Amand, T.; Bedel-Pereira, E. Nitrogen-Plasma Study for Plasma-Assisted MBE Growth of 1.3 μm Laser Diodes. Solid State Electron. 2003, 47, 419–423. [Google Scholar] [CrossRef]
  39. Xiao, D.; Cheng, C.; Shen, J.; Lan, Y.; Xie, H.; Shu, X.; Meng, Y.; Li, J.; Chu, P.K. Characteristics of Atmospheric-pressure Non-thermal N2 and N2/O2 Gas Mixture Plasma Jet. J. Appl. Phys. 2014, 115, 033303. [Google Scholar] [CrossRef] [Green Version]
  40. Hargis, P.J.; Greenberg, K.E. Dissociation and Product Formation in NF3 Radio-frequency Glow Discharges. J. Appl. Phys. 1990, 67, 2767–2773. [Google Scholar] [CrossRef]
  41. Tasaka, A.; Watanabe, E.; Kai, T.; Shimizu, W.; Kanatani, T.; Inaba, M.; Tojo, T.; Tanaka, M.; Abe, T.; Ogumi, Z. Effect of Oxygen Concentration on the Spike Formation during Reactive Ion Etching of SiC Using the Mixed Gas Plasma of NF3 and O2. J. Vac. Sci. Technol. A 2007, 25, 391–400. [Google Scholar] [CrossRef]
  42. Seccombe, D.P.; Tuckett, R.P.; Jochims, H.-W.; Baumgärtel, H. The observation of fluorescence from excited states of NF2 and NF following the photodissociation of NF3 in the 11-30eV range. Chem. Phys. Lett. 2001, 339, 405–412. [Google Scholar] [CrossRef]
Figure 1. Experimental apparatus of a 13.56 MHz PECVD system with OES setup.
Figure 1. Experimental apparatus of a 13.56 MHz PECVD system with OES setup.
Coatings 13 00091 g001
Figure 2. Optical emission spectrum of oxygen: (a) oxygen plasma and (b) change in O2 plasma intensity over time [27,28].
Figure 2. Optical emission spectrum of oxygen: (a) oxygen plasma and (b) change in O2 plasma intensity over time [27,28].
Coatings 13 00091 g002
Figure 3. OES spectrum of N2 plasm.
Figure 3. OES spectrum of N2 plasm.
Coatings 13 00091 g003
Figure 4. OES spectrum of N2 + O2 plasma: (a) the case of N2 + O2 (80:20), (b) the case of N2 + O2 (50:50), and (c) the case of N2 + O2 (20:80).
Figure 4. OES spectrum of N2 + O2 plasma: (a) the case of N2 + O2 (80:20), (b) the case of N2 + O2 (50:50), and (c) the case of N2 + O2 (20:80).
Coatings 13 00091 g004
Figure 5. N2 + O2 plasma peak intensity change with the addition of O2.
Figure 5. N2 + O2 plasma peak intensity change with the addition of O2.
Coatings 13 00091 g005
Figure 6. OES spectrum of NF3 plasma.
Figure 6. OES spectrum of NF3 plasma.
Coatings 13 00091 g006
Figure 7. OES spectrum of NF3 + O2 plasma: (a) case of NF3 + O2 (80:20), (b) case of NF3 + O2 (50:50), and (c) case of NF3 + O2 (20:80).
Figure 7. OES spectrum of NF3 + O2 plasma: (a) case of NF3 + O2 (80:20), (b) case of NF3 + O2 (50:50), and (c) case of NF3 + O2 (20:80).
Coatings 13 00091 g007aCoatings 13 00091 g007b
Figure 8. NF3 + O2 plasma peak intensity change with the addition of oxygen gas.
Figure 8. NF3 + O2 plasma peak intensity change with the addition of oxygen gas.
Coatings 13 00091 g008
Figure 9. Plasma information of N2 and NF3 according to the amount of oxygen added: (a) electron temperature and (b) electron density.
Figure 9. Plasma information of N2 and NF3 according to the amount of oxygen added: (a) electron temperature and (b) electron density.
Coatings 13 00091 g009
Table 1. Experiment condition.
Table 1. Experiment condition.
GasGas RatioPower (Watt)Pressure (mTorr)Temp (°C)
O2N2100:03001000350
80:20
25:25
20:80
NF3100:0
80:20
25:25
20:80
Table 2. Data for argon np levels with J = 0 [36].
Table 2. Data for argon np levels with J = 0 [36].
Levels Q , p ( 1 < T e < 6 eV ) n e C , p ( cm 3 )
( 1 < p < 100 mTorr )
λ ( nm ) r b ( % )
K 0 ( cm 3 s 1 ) E 0 ( eV ) C 0
Ar 2 p 5 1.2 × 10−914.90.4 ~ 10 12 751.5~100
Ar 2 p 1 2 × 10−915.60.5-750.499.5
Ar 3 p 5 7.5 × 10−1015.50 3.3 × 10 11 419.819.8
Ar 3 p 1 7.5 × 10−1016.40-425.930.6
Ar 4 p 5 2.5 × 10−1017.00.3 1.0 × 10 11 360.713.1
Ar 4 p 1 1.2 × 10−1017.10.2-365.015.1
Ar 5 p 5 4.3 × 10−1116.60.3 2.3 × 10 10 357.218.9
Ar 5 p 1 1.6 × 10−1116.90.3-340.616.5
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

An, S.; Hong, S.J. Spectroscopic Analysis of NF3 Plasmas with Oxygen Additive for PECVD Chamber Cleaning. Coatings 2023, 13, 91. https://doi.org/10.3390/coatings13010091

AMA Style

An S, Hong SJ. Spectroscopic Analysis of NF3 Plasmas with Oxygen Additive for PECVD Chamber Cleaning. Coatings. 2023; 13(1):91. https://doi.org/10.3390/coatings13010091

Chicago/Turabian Style

An, Surin, and Sang Jeen Hong. 2023. "Spectroscopic Analysis of NF3 Plasmas with Oxygen Additive for PECVD Chamber Cleaning" Coatings 13, no. 1: 91. https://doi.org/10.3390/coatings13010091

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop