Scaling the Area of Synthesizable FPGA Tiles Across Semiconductor Process Nodes
Abstract
1. Introduction
- Analyze the limitations of existing scaling factor approaches when applied to synthesizable FPGA tiles.
- Introduce a refined scaling methodology that integrates standard-cell layout characteristics into the estimation process.
- Evaluate the accuracy of the proposed model on multiple open-source technology nodes and demonstrate a significant reduction in estimation error compared to previous methods.
2. Background
2.1. Direct Tile Design
2.2. Minimum Width Transistor Area Model
2.3. Regression-Based Tile Area Estimation Models
2.4. Dennard Scaling
2.5. Scaling Factors
3. Methodology
3.1. FPGA Tile Architecture
- Programmable tiles (Tile) that implement basic logic functionality.
- Block random-access memories (BRAMs) for data storage.
- Digital signal processing (DSP) blocks for arithmetic operations.
- A programmable routing network that connects all components using horizontal and vertical routing tracks.
- A lookup table (LUT)-based configurable logic block (CLB).
- Connection blocks (CBs) that provide programmable connectivity between the CLB and routing tracks.
- A switch block (SB) that enables programmable connections between vertical and horizontal routing channels.
3.2. Standard Cell Libraries
3.3. Dataset Collection Methodology
4. Results
- To analyze trends in synthesizable FPGA tile area across process nodes.
- To formulate a scaling methodology based on empirical results for area estimation across process nodes.
- To derive scaling factors for approximating synthesizable FPGA tile area across process nodes.
- To evaluate the accuracy of the scaling methodology in terms of mean and maximum absolute percentage errors.
4.1. Scaling Trends
4.2. Formulation
- represents the area of an FPGA tile that is synthesized at a specific process node.
- represents the area of a reference block that is synthesized at a specific process node.
- represents the scaling factor. The scaling factor is a function of the area of the reference block at the base and target process nodes.
4.3. Alternative Scaling References
4.4. Accuracy
5. Conclusions
Author Contributions
Funding
Data Availability Statement
Conflicts of Interest
Abbreviations
| APE | Absolute Percentage Error |
| ASIC | Application Specific Integrated Circuit |
| BEOL | Back End of Line |
| BLE | Basic Logic Element |
| BRAM | Block Random Access Memory |
| CB | Connection Block |
| CLB | Configurable Logic Block |
| COFFE | Circuit Optimization for FPGA Exploration |
| DSP | Digital Signal Processing |
| DFF | D-type Flip Flop |
| FinFET | Fin Field Effect Transistor |
| FPGA | Field Programmable Gate Array |
| LUT | Lookup Table |
| MUX2 | 2-to-1 Multiplexer |
| MUX4 | 4-to-1 Multiplexer |
| MWTA | Minimum Width Transistor Area |
| PRGA | Princeton Reconfigurable Gate Array |
| RTL | Register Transfer Level |
| SB | Switch Block |
| XGBoost | eXtreme Gradient Boosting |
References
- Ajayi, T.; Blaauw, D.; Chan, T.B.; Cheng, C.K.; Chhabria, V.A.; Choo, D.K.; Coltella, M.; Dobre, S.; Dreslinski, R.; Fogaça, M.; et al. OpenROAD: Toward a Self-Driving, Open-Source Digital Layout Implementation Tool Chain. In Proceedings of the GOMACTech, Albuquerque, NM, USA, 25–28 March 2019; pp. 1105–1110. [Google Scholar]
- Ajayi, T.; Chhabria, V.A.; Fogaça, M.; Hashemi, S.; Hosny, A.; Kahng, A.B.; Kim, M.; Lee, J.; Mallappa, U.; Neseem, M.; et al. Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project. In Proceedings of the 56th Annual Design Automation Conference (DAC 2019), Las Vegas, NV, USA, 2–6 June 2019; pp. 1–4. [Google Scholar]
- Shalan, M.; Edwards, T. Building OpenLANE: A 130 nm OpenROAD-based Tapeout-Proven Flow: Invited Paper. In Proceedings of the 2020 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Diego, CA, USA, 2–5 November 2020; pp. 1–6. [Google Scholar] [CrossRef]
- Foundry, S.T. SkyWater 130 nm Process Design Kit (PDK). 2020. Available online: https://skywater-pdk.readthedocs.io/en/main/ (accessed on 15 November 2025).
- Betz, V.; Rose, J. VPR: A new packing, placement and routing tool for FPGA research. Field-Program. Log. Appl. FPL. Lect. Notes Comput. Sci. 1997, 1304, 213–222. [Google Scholar] [CrossRef]
- Luu, J.; Kuon, I.; Jamieson, P.; Campbell, T.; Ye, A.; Fang, W.M.; Kent, K.; Rose, J. VPR 5.0: FPGA CAD and Architecture Exploration Tools with Single-Driver Routing, Heterogeneity and Process Scaling. ACM Trans. Reconfigurable Technol. Syst. 2011, 4, 1–23. [Google Scholar] [CrossRef]
- Murray, K.E.; Petelin, O.; Zhong, S.; Wang, J.M.; Eldafrawy, M.; Legault, J.P.; Sha, E.; Graham, A.G.; Wu, J.; Walker, M.J.P.; et al. VTR 8: High-performance CAD and Customizable FPGA Architecture Modelling. ACM Trans. Reconfigurable Technol. Syst. 2020, 13, 1–55. [Google Scholar] [CrossRef]
- Elgammal, M.A.; Mohaghegh, A.; Shahrouz, S.G.; Mahmoudi, F.; Koşar, F.; Talaei, K.; Fife, J.; Khadivi, D.; Murray, K.; Boutros, A.; et al. VTR 9: Open-Source CAD for Fabric and Beyond FPGA Architecture Exploration. ACM Trans. Reconfigurable Technol. Syst. 2025, 18, 1–53. [Google Scholar] [CrossRef]
- Stillmaker, A.; Baas, B. Scaling equations for the accurate prediction of CMOS device performance from 180 nm to 7 nm. Integration 2017, 58, 74–81. [Google Scholar] [CrossRef]
- Sarangi, S.; Baas, B. DeepScaleTool: A Tool for the Accurate Estimation of Technology Scaling in the Deep-Submicron Era. In Proceedings of the IEEE International Symposium on Circuits and Systems Proceedings, Daegu, Republic of Korea, 23–26 May 2021; IEEE: Piscataway, NJ, USA, 2021; pp. 1–5. [Google Scholar]
- Al-Qawasmi, M.; Ye, A.G. Estimating Post-Synthesis Area, Delay, and Leakage Power of Standard Cell Based FPGA Tiles. In Proceedings of the 2023 IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Regina, SK, Canada, 24–27 September 2023; pp. 117–123. [Google Scholar] [CrossRef]
- Al-Qawasmi, M.; Ye, A.G. A Regression-Based Approach Towards Estimating the Area, Delay and Leakage Power of Synthesizable FPGA Tiles. In Proceedings of the 2024 International Conference on Field Programmable Technology (ICFPT), Sydney, Australia, 10–12 December 2024; pp. 1–10. [Google Scholar] [CrossRef]
- Tang, X.; Giacomin, E.; Chauviere, B.; Alacchi, A.; Gaillardon, P.E. OpenFPGA: An Open-Source Framework for Agile Prototyping Customizable FPGAs. IEEE Micro 2020, 40, 41–48. [Google Scholar] [CrossRef]
- Koch, D.; Dao, N.; Healy, B.; Yu, J.; Attwood, A. FABulous: An Embedded FPGA Framework. In Proceedings of the 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual, 28 February–2 March 2021; Association for Computing Machinery: New York, NY, USA, 2021; pp. 45–56. [Google Scholar] [CrossRef]
- Li, A.; Wentzlaff, D. PRGA: An Open-Source FPGA Research and Prototyping Framework. In Proceedings of the 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual, 28 February–2 March 2021; Association for Computing Machinery: New York, NY, USA, 2021; pp. 127–137. [Google Scholar] [CrossRef]
- Grady, B.; Anderson, J.H. Synthesizable Heterogeneous FPGA Fabrics. In Proceedings of the 2018 International Conference on Field-Programmable Technology (FPT), Naha, Japan, 10–14 December 2018; pp. 222–229. [Google Scholar] [CrossRef]
- Grady, B.; Anderson, J.H. Physical Design Considerations for Synthesizable Standard-Cell-Based FPGAs. In Proceedings of the 10th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Nagasaki, Japan, 6–7 June 2019; Association for Computing Machinery: New York, NY, USA, 2019. [Google Scholar] [CrossRef]
- Khan, F.F.; Ye, A. Measuring the Accuracy of Minimum Width Transistor Area in Estimating FPGA Layout Area. In Proceedings of the 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines, Vancouver, BC, Canada, 3–5 May 2015; pp. 223–226. [Google Scholar] [CrossRef]
- Khan, F.F.; Ye, A. An evaluation on the accuracy of the minimum width transistor area models in ranking the layout area of FPGA architectures. In Proceedings of the 2016 26th International Conference on Field Programmable Logic and Applications (FPL), Lausanne, Switzerland, 29 August–2 September 2016; pp. 1–11. [Google Scholar] [CrossRef]
- Khan, F.F.; Ye, A. A study on the accuracy of minimum width transistor area in estimating FPGA layout area. Microprocess. Microsyst. 2017, 52, 287–298. [Google Scholar] [CrossRef]
- Khan, F.; Ye, A. An Evaluation on the Accuracy of the Minimum-Width Transistor Area Models in Ranking the Layout Area of FPGA Architectures. ACM Trans. Reconfigurable Technol. Syst. 2018, 11, 1–23. [Google Scholar] [CrossRef]
- Al-Qawasmi, M.; Ye, A.G. An Investigation of the Accuracy of the VPR and COFFE Area Models in Predicting the Layout Area of FPGA Lookup Tables. In Proceedings of the 2020 SoutheastCon, Raleigh, NC, USA, 12–15 March 2020; pp. 1–9. [Google Scholar] [CrossRef]
- Sani, S.R.; Khan, F.F.; Razzaq, A.; Ye, A. Measuring the Accuracy of Layout Area Estimation Models of Tile-Based FPGAs in FinFET Technology. In Proceedings of the 2020 30th International Conference on Field-Programmable Logic and Applications (FPL), Gothenburg, Sweden, 31 August–4 September 2020; pp. 214–219. [Google Scholar] [CrossRef]
- Rostami-Sani, S.; Ye, A. Measuring the effect of track count and wire segment length on the layout area of switch blocks for tile-based FPGAs. Microprocess. Microsyst. 2022, 92, 104563. [Google Scholar] [CrossRef]
- Sani, S.R.; Ye, A. Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7 nm. ACM Trans. Reconfigurable Technol. Syst. 2024, 17, 1–29. [Google Scholar] [CrossRef]
- Chiasson, C.; Betz, V. COFFE: Fully-automated transistor sizing for FPGAs. In Proceedings of the 2013 International Conference on Field-Programmable Technology (FPT), Kyoto, Japan, 9–11 December 2013; pp. 34–41. [Google Scholar] [CrossRef]
- Yazdanshenas, S.; Betz, V. COFFE 2: Automatic Modelling and Optimization of Complex and Heterogeneous FPGA Architectures. ACM Trans. Reconfigurable Technol. Syst. 2019, 12, 1–27. [Google Scholar] [CrossRef]
- Rose, J.; Francis, R.J.; Lewis, D.; Chow, P. Architecture of field-programmable gate arrays: The effect of logic block functionality on area efficiency. IEEE J. Solid-State Circuits 1990, 25, 1217–1225. [Google Scholar] [CrossRef]
- Singh, S.; Rose, J.; Chow, P.; Lewis, D. The effect of logic block architecture on FPGA performance. IEEE J. Solid-State Circuits 1992, 27, 281–287. [Google Scholar] [CrossRef]
- Gamal, A.E.; Kouloheris, J.L. FPGA performance versus cell granularity. In Proceedings of the IEEE Custom Integrated Circuits Conference, Boston, MA, USA, 3–6 May 1992; pp. 1–4. [Google Scholar]
- Hill, D.; Woo, N.S. The benefits of flexibility in lookup table-based FPGAs. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1993, 12, 349–353. [Google Scholar] [CrossRef]
- Betz, V.; Rose, J. Cluster-based logic blocks for FPGAs: Area-efficiency vs. input sharing and size. In Proceedings of the CICC 97—Custom Integrated Circuits Conference, Denver, CO, USA, 5–8 May 1997; pp. 551–554. [Google Scholar] [CrossRef]
- Betz, V.; Rose, J. How much logic should go in an FPGA logic block. IEEE Des. Test Comput. 1998, 15, 10–15. [Google Scholar] [CrossRef]
- Marquardt, A.; Betz, V.; Rose, J. Speed and area tradeoffs in cluster-based FPGA architectures. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2000, 8, 84–93. [Google Scholar] [CrossRef]
- Ahmed, E.; Rose, J. The Effect of LUT and Cluster Size on Deep-Submicron FPGA Performance and Density. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2004, 12, 288–298. [Google Scholar] [CrossRef]
- Zgheib, G.; Lortkipanidze, M.; Owaida, M.; Novo, D.; Ienne, P. FPRESSO: Enabling Express Transistor-Level Exploration of FPGA Architectures. In Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. Association for Computing Machinery, Monterey, CA, USA, 21–23 February 2016; pp. 80–89. [Google Scholar] [CrossRef]
- Zgheib, G.; Ienne, P. Evaluating FPGA clusters under wide ranges of design parameters. In Proceedings of the 2017 27th International Conference on Field Programmable Logic and Applications (FPL), Ghent, Belgium, 4–8 September 2017; pp. 1–8. [Google Scholar] [CrossRef]
- Stine, J.E.; Castellanos, I.; Wood, M.; Henson, J.; Love, F.; Davis, W.R.; Franzon, P.D.; Bucher, M.; Basavarajaiah, S.; Oh, J.; et al. FreePDK: An Open-Source Variation-Aware Design Kit. In Proceedings of the 2007 IEEE International Conference on Microelectronic Systems Education (MSE’07), San Diego, CA, USA, 3–4 June 2007; pp. 173–174. [Google Scholar] [CrossRef]
- Bhanushali, K.; Davis, W.R. FreePDK15: An Open-Source Predictive Process Design Kit for 15 nm FinFET Technology. In Proceedings of the 2015 Symposium on International Symposium on Physical Design. Association for Computing Machinery, Monterey, CA, USA, 29 March–1 April 2015; pp. 165–170. [Google Scholar] [CrossRef]
- Clark, L.T.; Vashishtha, V.; Shifren, L.; Gujja, A.; Sinha, S.; Cline, B.; Ramamurthy, C.; Yeric, G. ASAP7: A 7-nm finFET predictive process design kit. Microelectron. J. 2016, 53, 105–115. [Google Scholar] [CrossRef]
- Clark, L.T.; Vashishtha, V.; Harris, D.M.; Dietrich, S.; Wang, Z. Design flows and collateral for the ASAP7 7 nm FinFET predictive process design kit. In Proceedings of the 2017 IEEE International Conference on Microelectronic Systems Education (MSE), Lake Louise, AB, Canada, 11–12 May 2017; pp. 1–4. [Google Scholar] [CrossRef]
- Vashishtha, V.; Vangala, M.; Clark, L.T. ASAP7 predictive design kit development and cell design technology co-optimization: Invited paper. In Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Irvine, CA, USA, 13–16 November 2017; pp. 992–998. [Google Scholar] [CrossRef]












| Base Process | |||
|---|---|---|---|
| Target Process | 7 nm | 45 nm | 130 nm |
| 130 nm | 1.1 × 102 | 6.4 × 100 | 1.0 × 100 |
| 45 nm | 1.7 × 101 | 1.0 × 100 | 1.6 × 10−1 |
| 7 nm | 1.0 × 100 | 5.9 × 10−2 | 9.2 × 10−3 |
| Parameter | Values |
|---|---|
| k: Number of LUT inputs | 4, 5, 6 |
| N: Number of BLEs per CLB | 4, 8, 16, 20 |
| W: Routing channel width | 40, 80, 160, 240 |
| (%): Logic block input flexibility | 25, 50, 100 |
| L: Wire segment length | 1, 4, 8 |
| Process (nm) | Design Kit |
|---|---|
| 130 | Skywater130 [4] |
| 45 | FreePDK45 [38] |
| 15 | FreePDK15 [39] |
| 7 | ASAP7 7 nm FinFET [40,41,42] |
| Tile Architecture | k | N | W | L | Process (nm) | Area (μm2) | |
|---|---|---|---|---|---|---|---|
| ARCH1 | 4 | 20 | 240 | 25% | 1 | 130 | |
| 45 | |||||||
| 15 | |||||||
| 7 | |||||||
| ARCH2 | 5 | 20 | 240 | 25% | 1 | 130 | |
| 45 | |||||||
| 15 | |||||||
| 7 | |||||||
| ARCH3 | 6 | 20 | 240 | 25% | 1 | 130 | |
| 45 | |||||||
| 15 | |||||||
| 7 |
| Base Process | ||||
|---|---|---|---|---|
| Target Process | 7 nm | 15 nm | 45 nm | 130 nm |
| 130 nm | ||||
| 45 nm | ||||
| 15 nm | ||||
| 7 nm | ||||
| Base Process | ||||
|---|---|---|---|---|
| Target Process | 7 nm | 15 nm | 45 nm | 130 nm |
| 130 nm | ||||
| 45 nm | ||||
| 15 nm | ||||
| 7 nm | ||||
| Base Process | ||||
|---|---|---|---|---|
| Target Process | 7 nm | 15 nm | 45 nm | 130 nm |
| 130 nm | ||||
| 45 nm | ||||
| 15 nm | ||||
| 7 nm | ||||
| Base Process | ||||
|---|---|---|---|---|
| Target Process | 7 nm | 15 nm | 45 nm | 130 nm |
| 130 nm | 2.60% | 1.69% | 1.70% | 0.00% |
| 45 nm | 1.71% | 0.46% | 0.00% | 1.67% |
| 15 nm | 1.91% | 0.00% | 0.46% | 1.65% |
| 7 nm | 0.00% | 1.98% | 1.78% | 2.68% |
| Process (nm) | NAND2 (μm2) | DFF (μm2) | MUX2 (μm2) | MUX4 (μm2) |
|---|---|---|---|---|
| 130 | 3.754 | 28.778 | 11.261 | 33.782 |
| 45 | 1.877 | 11.732 | 5.162 | 15.487 |
| 15 | 0.197 | 1.425 | 0.639 | 1.917 |
| 7 | 0.058 | 0.423 | 0.175 | 0.525 |
| Base Process | ||||
|---|---|---|---|---|
| Target Process | 7 nm | 15 nm | 45 nm | 130 nm |
| 130 nm | ||||
| 45 nm | ||||
| 15 nm | ||||
| 7 nm | ||||
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2025 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Al-Qawasmi, M.; Ye, A. Scaling the Area of Synthesizable FPGA Tiles Across Semiconductor Process Nodes. Microelectronics 2025, 1, 6. https://doi.org/10.3390/microelectronics1020006
Al-Qawasmi M, Ye A. Scaling the Area of Synthesizable FPGA Tiles Across Semiconductor Process Nodes. Microelectronics. 2025; 1(2):6. https://doi.org/10.3390/microelectronics1020006
Chicago/Turabian StyleAl-Qawasmi, Mousa, and Andy Ye. 2025. "Scaling the Area of Synthesizable FPGA Tiles Across Semiconductor Process Nodes" Microelectronics 1, no. 2: 6. https://doi.org/10.3390/microelectronics1020006
APA StyleAl-Qawasmi, M., & Ye, A. (2025). Scaling the Area of Synthesizable FPGA Tiles Across Semiconductor Process Nodes. Microelectronics, 1(2), 6. https://doi.org/10.3390/microelectronics1020006

