Next Article in Journal
Decision Tree Application to Classification Problems with Boosting Algorithm
Next Article in Special Issue
Embedded Memories for Cryogenic Applications
Previous Article in Journal
Balancing Awareness and Congestion in Vehicular Networks Using Variable Transmission Power
Previous Article in Special Issue
A Low Dark Current 160 dB Logarithmic Pixel with Low Voltage Photodiode Biasing
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Low-Power CMOS Bandgap Voltage Reference for Supply Voltages Down to 0.5 V

Department of Information Engineering, University of Pisa, 56122 Pisa, Italy
*
Author to whom correspondence should be addressed.
Electronics 2021, 10(16), 1901; https://doi.org/10.3390/electronics10161901
Submission received: 14 July 2021 / Revised: 2 August 2021 / Accepted: 6 August 2021 / Published: 8 August 2021
(This article belongs to the Special Issue Design of Ultra-Low Voltage/Power Circuits and Systems)

Abstract

:
A voltage reference is strictly required for sensor interfaces that need to perform nonratiometric data acquisition. In this work, a voltage reference capable of working with supply voltages down to 0.5 V is presented. The voltage reference was based on a classic CMOS bandgap core, properly modified to be compatible with low-threshold or zero-threshold MOSFETs. The advantages of the proposed circuit are illustrated with theoretical analysis and supported by numerical simulations. The core was combined with a recently proposed switched capacitor, inverter-like integrator implementing offset cancellation and low-frequency noise reduction techniques. Experimental results performed on a prototype designed and fabricated using a commercial 0.18 μ m CMOS process are presented. The prototype produces a reference voltage of 220 mV with a temperature sensitivity of 45 ppm/°C across a 10–50 °C temperature range. The proposed voltage reference can be used to source currents up to 100 μ A with a quiescent current consumption of only 630 nA.

1. Introduction

In the era of the Internet of Things (IoT), the development of nonintrusive wearable biomedical devices is stimulating research in many different fields, including that of integrated electronic circuits [1,2,3,4]. The need to monitor important vital parameters during the normal daily activities of humans has motivated the design of autonomous wearable devices capable of performing chemical–physical analysis of body fluids such as sweat, tears, and urine [5,6,7]. One of the main challenges posed by these devices is related to the availability of very low power budgets, typically provided by small batteries and/or energy harvesters. Among the latter, BioFuel Cells (BFCs) [8,9] and ThermoElectric Generators (TEGs) [10,11,12] have received considerable interest in the last few years for applications that include and go beyond wearable devices. TEGs and BFCs provide supply voltages that can drop well below 1 V depending on the environmental conditions, and depending on their size, their available power may be as small as a few hundred nanowatts [13]. Circuits designed to be directly powered by TEGs and BFCs must meet such extreme voltage and power constraints.
The same constraints apply also to the Voltage Reference (VR), which is a cell that must be present in integrated circuits to enable nonratiometric analog-to-digital signal conversion and sensor stimulation. The most popular VR is the bandgap circuit [14,15,16], where a Proportional-To-Absolute-Temperature (PTAT) voltage is added to a Complementary-To-Absolute-Temperature (CTAT) voltage in proportion to cancel the temperature coefficient of the resulting voltage. In the standard bandgap circuit, the CTAT component is the base-emitter voltage ( V B E ) of a Bipolar Junction Transistor (BJT), while the PTAT term Δ V B E = V B E 1 V B E 2 is the difference of the V B E of two BJTs with different current densities. Exploiting diodes and substrate BJTs, the bandgap voltage reference can be implemented using pure CMOS processes [17]. The value of the reference voltage produced by a standard bandgap (BG) circuit, around 1.2 V, makes it not suitable for ultralow-voltage applications. The current-mode [18,19,20] and reverse [21,22] bandgap versions allow scaling down the reference voltage and then reducing the minimum supply voltage ( V d d ). However, due to the necessity to forward-bias a p-n silicon junction, the minimum V d d achievable with BJT-based voltage references cannot be reduced below around 0.7 V. Unfortunately, this value is still too high for circuits that have to be directly powered by BFCs and TEGs. The operation of BJT-based VRs can be extended to voltages well below 1 V using a charge pump to boost the V d d just for the BJTs and their biasing circuits [23].
In order to reduce the minimum V d d of voltage references, a popular strategy is replacing the BJTs with MOSFETs biased in the subthreshold region. A real advantage in terms of minimum V d d can be obtained only by getting rid of all BJTs in a VR circuit. The first examples of CMOS-compatible VRs [24,25] used subthreshold-biased MOSFETs only to produce the PTAT component, while they still relied on a substrate BJT for the CTAT voltage. All-MOSFET VRs can be based on a large variety of principles. Several CMOS transpositions of BJT standard bandgap circuits have been proposed: in this case, the gate–source voltage ( V G S ) replaces the V B E in the CTAT term, while the Δ V B E is replaced by a Δ V G S in the PTAT source. In [26,27], different methods for summing a Δ V G S and a V G S term with arbitrary weights were proposed. More recently, all-MOSFET versions of the current mode bandgap have been proposed [28,29]. An alternative approach that turns out to be also effective against process variations consists of taking the difference of the threshold voltages of a depletion and an enhancement device [30]. The drawback of this kind of VR is that depletion MOSFETs are not available in most modern CMOS processes. Another popular approach is biasing a diode-connected MOSFET with a current that has a properly tailored temperature dependence such that the typical CTAT dependence of the threshold voltage is canceled [31]. MOSFET-based voltage dividers have been recently proposed in combination with extensive body bias to cancel the dependence of the output voltage from both V d d and temperature [32,33].
In this work, we analyzed the suitability for ultralow supply voltage of the CMOS transposition of the popular BJT-based Kuijk bandgap core [15]. The main strength of this core is the low number of devices involved (two MOSFETs and three resistors), resulting in potential lower noise and design simplicity. To the best of our knowledge, this simple topology has received little attention in the literature in its BJT-free version. Using both analytical arguments and numerical simulations, we highlight the problems occurring when, pursuing low supply voltages, the original circuit is implemented using low-threshold MOSFETs. A slightly improved core that overcomes these issues is proposed, and its effectiveness is demonstrated by means of numerical calculations. The proposed topology maintains the simplicity of the design procedure that constitutes one of the attractive characteristics of Kuijk-like bandgap cores.
In order to give experimental support to the proposed core topology, we designed a prototype using a 0.18 μ m CMOS process. The feedback connection required by this class of VRs is provided in the prototype by a recently introduced switched capacitor integrator, having an always-available output voltage and intrinsic offset and flicker noise cancellation [34]. This integrator was already used in the combination of a BJT bandgap core in a differential-output VR designed for the 1.4–3.3 V V d d range [35]. In this work, we used an inverter-like version of the integrator, capable of working with V d d down to 0.5 V [34]. It was already used in combination with an equivalent Kujik-like switched-capacitor core with a diode-connected MOSFET [36].
The results of the measurements performed on the prototype are described, demonstrating that a reference voltage with low sensitivity with respect to temperature and supply voltage can be obtained with the proposed approach.

2. LV Bandgap Voltage Reference

Figure 1a shows the Kujik BG BJT-based core [15], where V 1 = V 2 due to the virtual short-circuit at the amplifier input, resulting in the following ratio of Q 1 Q 2 collector currents: I C 2 / I C 1 = R 1 / R 2 . The reference voltage V r e f , in the case of R 1 = R 2 (i.e., I C 1 = I C 2 ), is:
V r e f = V B E 1 + R 1 R T Δ V B E = V B E 1 + R 1 R T U T l n ( N ) ,
where U T = k T / q is the equivalent thermal voltage (k is the Boltzmann constant, T the absolute temperature, and q the electron charge) and N is simply the ratio of the emitter areas of Q 2 and Q 1 . A proper sizing of the resistive ratio R 1 / R T allows the temperature derivative compensation of V r e f at a target temperature, for instance room temperature ( T 0 = 27 °C), obtaining the typical value of the reference voltage, close to 1.22 V, which practically sets the minimum supply voltage to around 1.4 V.

2.1. MOSFET-Based Voltage Reference

In order to reduce the required supply voltage, the two BJTs in the Kujik BG core can be replaced with MOSFETs biased in weak inversion, obtaining the circuit in Figure 1b. The drain current of a MOSFET in weak inversion can be expressed as [37]:
I D = I S e V G S V t h n U T e V S B ( n 1 ) n U T 1 e V D S U T
where V t h is the threshold voltage, V D S and V S B are the drain–source and the source–body voltages, respectively, I S = 2 n μ C o x U T 2 W / L is the transistor-specific current [38], n is the slope factor, μ is the carrier mobility, C o x is the gate oxide capacitance per unit area, and W / L is the aspect ratio. By simple calculations, the reference voltage of the BG core in Figure 1b is:
V r e f = V G S 1 + R 1 R T Δ V G S = V G S 1 + R 1 R T n U T l n ( N ) ,
where N is the ratio of the M 2 and M 1 aspect ratios, i.e., N = ( W 2 / L 2 ) / ( W 1 / L 1 ) . The PTAT term Δ V G S = V G S 1 V G S 2 is obtained by calculating V G S 1 and V G S 2 by means of Equation (2), where we supposed that both M 1 and M 2 work in the saturation region ( V D S U T ).
We now briefly evaluate the temperature derivative of V G S 1 around T 0 , verifying its role in the CTAT term. Deriving the expression of V G S 1 from Equation (2) and considering the expression of the M 1 drain current I D 1 = Δ V G S / R T :
V G S 1 T = G ( T ) = α + n k q V o d 1 ( T ) n U T ( α R T + 1 + γ ) ,
where α = V t h ( T ) / T is the threshold voltage temperature derivative that we approximated as a temperature-independent term, V o d 1 = ( V G S 1 V t h ) is the overdrive voltage, α R is the TCR of the resistors, and γ < 0 is the exponent of the temperature dependence of the electron mobility μ : μ ( T ) = μ ( T 0 ) ( T / T 0 ) γ . The parameter α is negative and typically represents the dominant contribution in Equation (4), making V G S 1 the CTAT component, as anticipated.
Following the typical approach of nulling the temperature derivative of V r e f for T = T 0 , we obtained the proper value of R 1 / R T that allows the first-order temperature compensation of the PTAT and the CTAT terms. Then, with simple calculations, the reference voltage at T 0 can be written as:
V r e f ( T 0 ) = V G S 1 ( T 0 ) G ( T 0 ) T 0 = V t h ( T 0 ) α T 0 + n U T ( T 0 ) ( α R T 0 + 1 + γ ) .
It is worth mentioning that the reference voltage at T = T 0 does not depend on device bias, provided that M 1 M 2 work in the weak inversion and saturation region. Assuming the parameter values from the device models of the technology used in this work (standard 0.18 μ m CMOS process) and an overdrive voltage equal to 0 V, G ( T 0 ) 0.6 mV/K and V r e f ( T 0 ) V t h ( T 0 ) + 0.18 V. With typical threshold voltages around 0.4 V, we obtained a reference voltage around 0.6 V. Considering that the margin of the Operational Amplifier (OP) output voltage to V d d is, in the best case, equal to a saturation voltage V D S A T , a minimum supply voltage of around 0.7 V can be estimated.
In order to scale down the reference voltage, it is possible to choose Low-Threshold-Voltage (LVT) or Zero-Threshold-Voltage (ZVT) devices, which are available in many current CMOS processes. However, we must guarantee the saturation region for M 1 M 2 , which are diode-connected and have V D S = V G S . In weak inversion, the saturation voltage V D S A T is around 4 U T . Regular MOSFET devices typically show V t h U T , and then, the saturation condition is ensured even when overdrive voltages are close to zero or negative. On the other hand, the threshold voltage of LVT devices can be on the order of the saturation voltage, so that M 1 M 2 are biased at the boundary of the triode region and the effects of V D S 1 and V D S 2 cannot be neglected any more. Consequently, Δ V G S could be no longer PTAT in the whole temperature range of interest. When V D S cannot be neglected in Equation (2), an analytical solution cannot be found.
For this reason, we developed a numerical simulator, written using the Scipy scientific modules of the Python language, to solve the network of the BG core depicted in Figure 1b and to find Δ V G S and V r e f in a temperature range from 0 °C to 80 °C. The drain currents of M 1 M 2 were modeled according to Equation (2), tuned with the electrical parameters of a commercial 0.18 μ m CMOS process. In order to highlight the role played by V D S , we compared the results of simulations performed with and without the V D S -dependent term of Equation (2). In the rest of the paper, we refer to the solution obtained neglecting the V D S as the ideal case. OP was considered ideal with an infinite gain, thus ensuring the virtual short-circuit V 1 = V 2 . R T was sized to fix the MOSFET drain currents (here, around 200 nA), while R 1 = R 2 = R was sized to obtain the first-order temperature compensation of the PTAT and the CTAT terms at T = T 0 = 27 °C in the ideal case. ( W 1 / L 1 ) and ( W 2 / L 2 ) were chosen as large as possible to guarantee the weak inversion biasing of both M 1 and M 2 . The N factor is typically in the range from 2 (minimum area occupation) to 8 (compact common-centroid layouts). We adopted an intermediate value N = 5 , but the following results did not vary significantly with this parameter, once R and R T were properly resized accordingly. Finally, three different values of V t h ( T 0 ) were considered in the simulations: 0.11, 0.2, 0.3 V.
Figure 2a shows the temperature behavior of Δ V G S for different V t h ( T 0 ) (simply expressed as V t h in the plot legends). The dashed curves represent the ideal Δ V G S : notice that the curves are practically coincident, showing that there is no influence from the threshold voltage, in agreement with Equation (3). On the other hand, the Δ V G S curves obtained by taking into account also the V D S effect strongly depend on V t h . For V t h = 0.3 V, the effect of V D S is almost negligible, as shown also in the inset of Figure 2a. For a lower value of V t h (0.2 V), Δ V G S differs from the ideal curve especially for large temperatures, while for even lower values of V t h (e.g., 0.11 V), the temperature behavior of Δ V G S is not PTAT any more in the whole temperature range. It was not possible to repeat the simulations for V t h < 0.11 V (corresponding to typical LVT or ZVT devices), because V G S 2 (and consequently V D S 2 ) became negative for a certain range of temperatures and the numerical solver failed to find the correct solution of the equation set. Figure 2b shows the temperature behavior of V r e f for the same V t h values as in Figure 2a. Failure in obtaining a correct PTAT voltage for low V t h is clearly reflected in the temperature stability of V r e f . A significant deviation from the ideal case can be observed for V t h = 0.2 V, while for V t h = 0.11 V, the effect of V D S completely disrupts the temperature stabilization mechanism. For V t h = 0.3 V, instead, the temperature behavior is practically the same as in the ideal case; however, it is worth noting that the value of the obtained reference voltage is close to 0.5 V. Considering the minimum overhead required by the amplifier, this results in a reasonable minimum V d d value of about 0.6 V. In the next section, we present a new BG topology where the use of LVT or ZVT devices did not incur the above-mentioned issue, thus allowing further scaling of the minimum supply voltage.

2.2. Proposed BG Core

Figure 3a shows the proposed BG core, where the diode connections of M 1 M 2 were removed and the drain terminals were connected to the supply voltage, while the resistive network made by R 1 , R 2 , and R T was shifted to the source side. In this way, V D S can be larger than V G S and does not suffer from the small values assumed by the latter in LVT and ZVT devices. It is straightforward to verify that the working principle of the proposed core is identical to that of Figure 1b, with the only difference that V S B of M 1 M 2 is not zero. Thus, the reference voltage is then:
V r e f = V G S 1 + R 1 R T Δ V G S = V G S 1 + R 1 R T U T l n ( N ) ,
which differs from Equation (3) only for the absence of the factor n in the PTAT term. In a triple-well process (or p-well), a source–body connection would be allowed, making the V r e f of the circuits in Figure 1b and Figure 3a perfectly equivalent. This condition is clearly not essential, since the sum of a PTAT and a CTAT term is present in the expression of V r e f given in Equation (6). More interestingly, it is possible to demonstrate that, imposing an R 1 / R T ratio that nulls the V r e f temperature derivative at T = T 0 , the expression of the reference voltage of the proposed BG core at T = T 0 is the same as Equation (5) regardless of the presence of a body–source connection. Thus, V r e f ( T 0 ) V t h ( T 0 ) + 0.18 V, as in the case of the standard core of Figure 1b. With the proposed core, the V r e f ( T 0 ) value can be lowered by means of employing LVT or ZVT devices, without incurring the problems that affect the standard core. The limiting condition on the minimum supply voltage is given by M 2 entering the triode region. The minimum V d d value is determined by the following condition:
V D S 2 = V d d V S 2 > V D S A T
Expanding the term V S 2 by simple calculations based on the analysis performed above, the minimum V d d at T = T 0 can be written as:
V d d m i n = | G ( T 0 ) T 0 | + U T 0 l n ( N ) + V D S A T 0.32 V
In order to verify the correct behavior of the proposed core and find a more accurate value of V d d m i n , we performed numerical simulations also on the proposed BG core. The physical and geometrical parameters used in the simulation program were the same as the ones used for the standard core, except for R T , which was slightly different to compensate the different slope of the PTAT term.
Figure 4a shows the temperature behavior of Δ V G S and V r e f in the case of M 1 and M 2 with V t h = 0.11 V and V d d = 0.5 V. As in the case of the standard core, simulations were performed with and without the V D S -dependent term in Equation (2). Differently from Figure 2a, Δ V G S is minimally affected by the V D S effect, maintaining an excellent linear dependence from the temperature. Furthermore, also the temperature behavior of V r e f is very close to the ideal one. In Figure 4b, we performed the same simulations, but considering V t h = 50 mV, which is close to the actual value of the threshold voltage of an LVT device in the adopted technology. The temperature behavior for both Δ V G S and V r e f is still quite close to the ideal case, but the absolute value of V r e f is obviously lower.
Figure 5 shows the variations of V r e f ( T 0 ) and the temperature coefficient (TC) as a function of the supply voltage. The temperature coefficient is defined as: ( V r e f , m a x V r e f , m i n ) / ( V r e f ( T 0 ) Δ T ) , where V r e f , m a x and V r e f , m i n are the maximum and the minimum values of V r e f over the investigated temperature interval and Δ T = 80 °C is the total temperature excursion. In the case of neglecting the V D S effect (dashed curves), no dependence on the supply voltage is present. Actually, appreciable variations of V r e f and TC are present only for values of V d d lower than 0.4 V. This value is larger than the estimate given in Equation (8). This discrepancy derives from considering an abrupt transition between saturation and triode region. In practice, the V D S effects start being non-negligible for V D S values higher than the V D S A T value (100 mV) used in Equation (8).

2.3. Offset and Noise Contribution of the Amplifier

Bandgap topologies that employ an operational amplifier to ensure equal currents in the two branches of the core necessarily suffer from the effect of offset and noise introduced by the op amp [35]. The Referred-To-Input (RTI) error voltage of OP, indicated in Figure 3b as v n , gives a contribution to the reference voltage equal to v n o u t :
v n o u t v n β ,
where β is a small signal transfer function defined as follows:
β = v 1 v 2 v r e f .
From the equivalent small signal circuit of the proposed BG core depicted in Figure 3b, considering that n = 1 + g m b / g m , it is straightforward to evaluate β :
β = g m 1 R 1 g m 2 R 2 + g m 1 g m 2 R 1 R T n ( 1 + g m 1 n R 1 ) ( 1 + g m 2 n ( R 2 + R T ) )
Because of the design choice R 1 = R 2 and consequently I D 1 = I D 2 , the transconductance of M 1 M 2 biased in weak inversion is equal to g m 1 = g m 2 = l n ( N ) / ( n R T ) . By nulling the temperature derivative of V r e f expressed in Equation (6) around T 0 , we derive R 1 = G ( T 0 ) R T q / l n ( N ) k . Finally, we can express β as:
β = G ( T 0 ) q k n 1 G ( T 0 ) q k l n ( N ) 1 G ( T 0 ) q k + l n ( N ) .
Considering a typical range of N from 2 to 8, β varies from 0.05 to 0.15. As a result, according to Equation (9), v n o u t can be from 7- to 20-times v n . For this reason, the input voltage noise and offset of the amplifier can significantly degrade the reference voltage accuracy. As shown in the next section, the proposed core was combined with an amplifier performing Correlated Double Sampling (CDS) to reduce its offset and low-frequency noise.

3. Prototype Design

The proposed LV-VR, shown in Figure 6, was implemented using a 0.18 μ m CMOS process from UMC. The LV-BG core was the same as just described in the previous section where transistors M 1 M 2 are LVT devices, having threshold voltages ( V t h 0 ) lower than 100 mV. The amplifier OP was replaced by a Discrete Time (DT) Switched Capacitor (SC) integrator (DTI), enclosed inside the blue dotted polygon in Figure 6. The integrator was presented for the first time in [34] and was selected for the proposed LV-VR since it offers the following unique combination of properties: (i) it operates CDS, reducing the input-referred offset voltage and low frequency noise; (ii) its output voltage is valid across the whole clock cycle, making it equivalent to a continuous-time integrator; (iii) it ideally does not draw current from the inputs as soon as the virtual short-circuit is established; (iv) it provides a high DC gain. The integrator is formed by two stages, built around amplifiers A 1 and A 2 . In turn, A 1 and A 2 are based on gain stages ( I 1 and I 2 ), consisting of standard CMOS inverters. In A 2 , the inverter is followed by a source-follower stage (devices M 3 M 4 ), introduced to allow driving resistive loads with reduced gain loss. In order to maintain an acceptable output range, a ZVT device (native n-MOSFET) was used for M 3 . The circuit operates with a two-phase clock. Switches are labeled with numbers that indicate the clock phase where they are closed (on state). The detailed operating principle of the SC integrator was analyzed in [34]. Briefly, in the transition between Phase 2 to Phase 1, a charge proportional to the input differential voltage ( V d = V 2 V 1 ) is injected from capacitor C S into capacitor C T . In the transition between Phase 1 and the following Phase 2, this charge is transferred to C F , which produces a voltage increment equal to Δ V o u t = V d C S / C F , proving that the circuit operates as a DT integrator. It can be easily verified that the voltages at the input of A 1 and A 2 contribute to all charge transfers only in the form of the differences of samples taken at different instants of the clock cycle. This proves that CDS is actually applied to A 1 and A 2 input offset and low-frequency noise voltages. Capacitor C H is used to maintain negative feedback in Phase 2, when C T is transferring charge to C F . The use of C H instead of a direct input–output connection reduces the output swing of the A 1 output voltage across phase transitions, increasing the equivalent DC gain of the integrator. For this mechanism to be effective, in Phase 1, C H must be connected to a voltage as close as possible to the closed-loop A 1 input voltage. This voltage, indicated with V i n v , is produced by inverter I 3 closed in unity gain configuration. The DC gain of the integrator can be shown to be in the order of A 1 2 A 2 . As a result, even with the typically small gains of inverter-like amplifiers (order of tens, at ultralow supply voltages), DC gains of several thousands are guaranteed using the adopted two-stage integrator.

3.1. Start-Up Circuit

As in traditional bandgap-like structures, the proposed circuit suffers from the presence of an unwanted all-zero stable solution. To prevent the circuit from being trapped, a start-up architecture is mandatory. The start-up circuit adopted in this work is shown in the red dashed box in Figure 6: C k is the clock signal, while V s u is the output voltage of the low-voltage comparator shown in Figure 7. The comparator is composed by a cascade of three inverters: the first one, M 5 and M 6 , sets the threshold voltage, and the other two inverters make the transition sharper. The threshold voltage is reached when the input voltage of the comparator (i.e., V r e f ) is such that the current in M 6 equals the constant current provided by M 5 . By proper sizing of M 5 and M 6 , the threshold voltage was set to a value slightly lower than the steady-state value of V r e f , even considering the spread due to the process variations. When voltage V r e f is lower than the comparator threshold voltage, the charge pump formed by capacitor C S U pumps charges into C F , allowing V r e f to increase to a voltage large enough to enable the circuit to start its autonomous evolution towards the correct steady-state solution. Since the steady-state value of V r e f is larger than the comparator threshold voltage, the start-up circuit is normally powered off.

3.2. Device Sizing

As shown in Figure 6, the proposed prototype is formed by two main blocks, namely the bandgap core and the amplifier (SC integrator). The procedure used to design the core is very simple, and this is a clear advantage of Kujik-like solutions. M 1 and M 2 are LVT devices, characterized by a threshold voltage around 50 mV. The value of N, which is not critical, sets the voltage across resistor R T (PTAT voltage). In turn, R T sets the bias current through M 1 and M 2 , i.e., the power consumption of the core. Once the magnitude of the current is established, M 1 ’s aspect ratio must be chosen large enough to keep the MOSFET in weak inversion. This step sets M 1 ’s overdrive voltage, which is not critical, since it does not affect the value of V r e f , according to Equation (5). Finally, the R / R T ratio is set to the value that nulls the derivative of V r e f with respect to temperature. This last step can be simply accomplished by means of a single parametric sweep, performed using the electrical simulator. We chose a value of N equal to 5, which was obtained by connecting five M 1 replicas in parallel to form M 2 . In practice, this corresponded to setting the multiplicity factor (m) of M 2 to 5. The value of R T was chosen to set the core current consumption to a few hundred nA. Clearly, larger resistance values can be adopted to reduce the current consumption, at the cost of a larger area occupation. As far as the SC integrator is concerned, transistors M 3 and M 4 are ZVT MOSFETs, and the remaining transistors are Regular Threshold Voltage (RVT) devices. Complementary transmission gates employing regular threshold devices were used for all switches.
Table 1 and Table 2 summarize the sizes of the active and passive components, respectively. As far as passive components are concerned, resistors R 1 , R 2 , and R T are high-resistivity polysilicon resistors, and all capacitors are metal–insulator–metal devices.

4. Results

The proposed LV-VR was designed with the Cadence Virtuoso Platform for Custom IC design, electrically verified by means of the Spectre simulator and fabricated with the UMC 0.18 μ m CMOS process. An optical micrograph of the proposed voltage reference is shown in Figure 8, where the layout is superimposed on the picture. The total area consumption was close to 0.015 mm2. All tests were performed with V d d = 0.5 V, T = 27 °C, and f c l k = 100 kHz, unless otherwise specified.
The dependence of V r e f on the supply voltage V d d was measured over a set of ten chips. Figure 9 shows the highest (dots) and the lowest (triangle) V r e f vs. V d d characteristics. The spread between the two curves is 71.5 mV. Since the main contribution of the reference voltage is represented by the threshold voltage, it can be argued that this uncertainty is mainly due to the V t h spread. Corner process simulations confirmed that the variation of the M 1 and M 2 threshold voltages was actually close to the reference voltage spread. The circuit started working properly with V d d = 0.5 V and in the supply voltage range from 0.5 V to 1.3 V the V r e f variation was close to 2 mV for both characteristics. Note that the lower V d d limit was higher than the 0.4 V prediction derived from the numerical simulations of Figure 5. This could be due to additional constraints on V d d imposed by the SC integrator.
The V r e f temperature dependence is shown in Figure 10 for a sample that exhibits an intermediate V r e f value with respect to the extremes shown in Figure 9. Measurements were performed using a Peltier-cell cryostat sweeping the temperature in the range 10 °C to 80 °C. A maximum variation of 6.7 mV can be observed in the whole temperature range. If we focus on the temperature range generally considered for wearable applications [39] (i.e., from 10 °C up to 50 °C), the variation was close to 1 mV, with an average TC of 45 ppm/°C.
In Figure 11, the output reference voltage is plotted as a function of the output current. It is possible to observe that V r e f undergoes very small variations for sourced current up to 104 μ A. The bias current of the proposed bandgap was around 630 nA, and thus, the maximum sourced current was 165-times larger than the bias one.
Finally, the unfiltered output noise density is depicted in Figure 12. The noise density decrease for frequencies higher than 2 kHz was due to the intrinsic DT transfer function of the feedback loop [35]. A typical flicker noise component can be observed at low frequencies. This can be ascribed to contributions from M 1 M 2 , which differently from the input noise of the amplifier, are not subjected to correlated-double sampling. The root-mean-squared voltage noise in the bandwidth from 0.2 Hz to 10 kHz was around 330 μ V .
The performance of the VR prototype described in this paper is summarized in Table 3, where the data extracted by recent works on Sub-1 V references are also reported. The proposed solution is lines up with most of the state-of-the-art designs included in the comparison. A point of weakness is represented by the power consumption, which could be simply overcome by scaling up the values of all resistors by the same factor, obviously at the cost of increased area occupation. Furthermore, it should be noted that, differently from the proposed circuit, all the VRs reported in Table 3 have no capability of sourcing significant output currents, with the exception of Reference [22]. The impressively low supply voltage reported in [33] was obtained by applying active body biasing to n-MOSFETs, which is not compatible with inexpensive n-well CMOS processes. The other work in the table that exhibits a supply voltage below 0.3 V [29] actually used a charge pump to double the value of V d d , providing the headroom required to bias a current mode bandgap core.

5. Conclusions

It was shown that direct replacement of the BJTs with low-threshold MOSFETs in the popular Kuijk bandgap core [15] is not a viable solution to obtain VRs compatible with ultralow supply voltages. The weakness was found to be the diode connection of the MOSFETs, which results in an insufficient V D S when the devices are biased in the deep subthreshold region. The main effect is a failure in the PTAT voltage/current generation, which occurs independently of the V d d value. It is worth noting that the observed problem should affect in the same way different architectures where a mesh of diode-connected MOSFETs is used to obtain a PTAT current [28,29]. The proposed improvement was demonstrated to be suitable for the design of Kuijk-like cores with very low-threshold-voltage MOSFETs. The principle, which was illustrated with analytical arguments, was also supported by experimental results obtained from the proposed prototype, designed using a standard CMOS n-well technology. Another merit of the prototype was the use of a recently introduced SC integrator, which, differently from other alternative designs operating CDS for offset and noise reduction, produced a really time-continuous output, which was valid across the whole clock cycle. Thanks to the inverter-like implementation of the integrator, the correct operation of the prototype was enabled down to 0.5 V. Note that the described prototype represents only one of the possible implementations of voltage references based on the proposed core. Different solutions where the resistor values are increased to reduce power consumption or alternative amplifier topologies are employed can be envisioned.

Author Contributions

All authors participated in the conceptualization and methodology of this work. Data curation, A.R. and A.C.; writing—original draft preparation, A.R., A.C. and P.B.; writing—review and editing: M.P. and P.B. All authors read and agreed to the published version of the manuscript.

Funding

This research was partially supported by the Italian Ministry of Education and Research (MIUR) in the framework of the CrossLab project (Departments of Excellence).

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript; nor in the decision to publish the results.

References

  1. Qiu, S.; Wang, Z.; Zhao, H.; Hu, H. Using Distributed Wearable Sensors to Measure and Evaluate Human Lower Limb Motions. IEEE Trans. Instrum. Meas. 2016, 65, 939–950. [Google Scholar] [CrossRef] [Green Version]
  2. Selvam, A.P.; Muthukumar, S.; Kamakoti, V.; Prasad, S. A wearable biochemical sensor for monitoring alcohol consumption lifestyle through Ethyl glucuronide (EtG) detection in human sweat. Sci. Rep. 2016, 6, 1–11. [Google Scholar] [CrossRef]
  3. Saraereh, O.A.; Alsaraira, A.; Khan, I.; Choi, B.J. A Hybrid Energy Harvesting Design for On-Body Internet-of-Things (IoT) Networks. Sensors 2020, 20, 407. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  4. Lo Presti, D.; Carnevale, A.; D’Abbraccio, J.; Massari, L.; Massaroni, C.; Sabbadini, R.; Zaltieri, M.; Di Tocco, J.; Bravi, M.; Miccinilli, S.; et al. A Multi-Parametric Wearable System to Monitor Neck Movements and Respiratory Frequency of Computer Workers. Sensors 2020, 20, 536. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  5. Bariya, M.; Nyein, H.Y.Y.; Javey, A. Wearable sweat sensors. Nat. Electron. 2018, 1, 160–171. [Google Scholar] [CrossRef]
  6. Dei, M.; Aymerich, J.; Piotto, M.; Bruschi, P.; del Campo, F.J.; Serra-Graells, F. CMOS Interfaces for Internet-of-Wearables Electrochemical Sensors: Trends and Challenges. Electronics 2019, 8, 150. [Google Scholar] [CrossRef] [Green Version]
  7. Oktavius, A.K.; Gu, Q.; Wihardjo, N.; Winata, O.; Sunanto, S.W.; Li, J.; Gao, P. Fully-Conformable Porous Polyethylene Nanofilm Sweat Sensor for Sports Fatigue. IEEE Sens. J. 2021, 21, 8861–8867. [Google Scholar] [CrossRef]
  8. Wang, H.; Wang, G.; Ling, Y.; Qian, F.; Song, Y.; Lu, X.; Chen, S.; Tong, Y.; Li, Y. High power density microbial fuel cell with flexible 3D graphene–nickel foam as anode. Nanoscale 2013, 5, 10283–10290. [Google Scholar] [CrossRef]
  9. Talkhooncheh, A.H.; Yu, Y.; Agarwal, A.; Kuo, W.W.T.; Chen, K.C.; Wang, M.; Hoskuldsdottir, G.; Gao, W.; Emami, A. A Biofuel-Cell-Based Energy Harvester With 86% Peak Efficiency and 0.25-V Minimum Input Voltage Using Source-Adaptive MPPT. IEEE J. Solid-State Circuits 2021, 56, 715–728. [Google Scholar] [CrossRef]
  10. Tanwar, A.; Lal, S.; Razeeb, K.M. Structural Design Optimization of Micro-Thermoelectric Generator for Wearable Biomedical Devices. Energies 2021, 14, 2339. [Google Scholar] [CrossRef]
  11. Khan, S.; Kim, J.; Roh, K.; Park, G.; Kim, W. High power density of radiative-cooled compact thermoelectric generator based on body heat harvesting. Nano Energy 2021, 87, 106180. [Google Scholar] [CrossRef]
  12. Proto, A.; Vondrak, J.; Schmidt, M.; Kubicek, J.; Gorjani, O.M.; Havlik, J.; Penhaker, M. A Flexible Thermoelectric Generator Worn on the Leg to Harvest Body Heat Energy and to Recognize Motor Activities: A Preliminary Study. IEEE Access 2021, 9, 20878–20892. [Google Scholar] [CrossRef]
  13. Alioto, M. Enabling the Internet of Things: From Integrated Circuits to Integrated Systems; Springer: New York, NY, USA, 2017. [Google Scholar] [CrossRef]
  14. Widlar, R. New developments in IC voltage regulators. IEEE J. Solid-State Circuits 1971, 6, 2–7. [Google Scholar] [CrossRef] [Green Version]
  15. Kuijk, K.E. A precision reference voltage source. IEEE J. Solid-State Circuits 1973, 8, 222–226. [Google Scholar] [CrossRef]
  16. Kok, C.W.; Tam, W.S. CMOS Voltage References: An Analytical and Practical Perspective; John Wiley & Sons: Hoboken, NJ, USA, 2012. [Google Scholar] [CrossRef]
  17. Ferro, M.; Salerno, F.; Castello, R. A floating CMOS bandgap voltage reference for differential applications. IEEE J. Solid-State Circuits 1989, 24, 690–697. [Google Scholar] [CrossRef]
  18. Banba, H.; Shiga, H.; Umezawa, A.; Miyaba, T.; Tanzawa, T.; Atsumi, S.; Sakui, K. A CMOS bandgap reference circuit with sub-1-V operation. IEEE J. Solid-State Circuits 1999, 34, 670–674. [Google Scholar] [CrossRef] [Green Version]
  19. Malcovati, P.; Maloberti, F.; Fiocchi, C.; Pruzzi, M. Curvature-compensated BiCMOS bandgap with 1-V supply voltage. IEEE J. Solid-State Circuits 2001, 36, 1076–1081. [Google Scholar] [CrossRef] [Green Version]
  20. Boni, A. Op-amps and startup circuits for CMOS bandgap references with near 1-V supply. IEEE J. Solid-State Circuits 2002, 37, 1339–1343. [Google Scholar] [CrossRef] [Green Version]
  21. Sanborn, K.; Ma, D.; Ivanov, V. A Sub-1-V Low-Noise Bandgap Voltage Reference. IEEE J. Solid-State Circuits 2007, 42, 2466–2481. [Google Scholar] [CrossRef]
  22. Ivanov, V.; Brederlow, R.; Gerber, J. An Ultra Low Power Bandgap Operational at Supply From 0.75 V. IEEE J. Solid-State Circuits 2012, 47, 1515–1523. [Google Scholar] [CrossRef]
  23. Chi-Wa, U.; Zeng, W.L.; Law, M.K.; Lam, C.S.; Martins, R.P. A 0.5-V Supply, 36 nW Bandgap Reference With 42 ppm/°C Average Temperature Coefficient Within -40 °C to 120 °C. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 3656–3669. [Google Scholar] [CrossRef]
  24. Tzanateas, G.; Salama, C.; Tsividis, Y. A CMOS bandgap voltage reference. IEEE J. Solid-State Circuits 1979, 14, 655–657. [Google Scholar] [CrossRef]
  25. Vittoz, E.; Neyroud, O. A low-voltage CMOS bandgap reference. IEEE J. Solid-State Circuits 1979, 14, 573–579. [Google Scholar] [CrossRef]
  26. Giustolisi, G.; Palumbo, G.; Criscione, M.; Cutri, F. A low-voltage low-power voltage reference based on subthreshold MOSFETs. IEEE J. Solid-State Circuits 2003, 38, 151–154. [Google Scholar] [CrossRef]
  27. Zhuang, H.; Zhu, Z.; Yang, Y. A 19-nW 0.7-V CMOS Voltage Reference with No Amplifiers and No Clock Circuits. IEEE Trans. Circuits Syst. II Express Briefs 2014, 61, 830–834. [Google Scholar] [CrossRef]
  28. Yang, Y.; Binkley, D.M.; Li, L.; Gu, C.; Li, C. All-CMOS subbandgap reference circuit operating at low supply voltage. In Proceedings of the 2011 IEEE International Symposium of Circuits and Systems (ISCAS), Rio de Janeiro, Brazil, 15–18 May 2011; pp. 893–896. [Google Scholar] [CrossRef]
  29. Yang, B.D. 250-mV Supply Subthreshold CMOS Voltage Reference Using a Low-Voltage Comparator and a Charge-Pump Circuit. IEEE Trans. Circuits Syst. II Express Briefs 2014, 61, 850–854. [Google Scholar] [CrossRef]
  30. Blauschild, R.; Tucci, P.; Muller, R.; Meyer, R. A new NMOS temperature-stable voltage reference. IEEE J. Solid-State Circuits 1978, 13, 767–774. [Google Scholar] [CrossRef]
  31. De Vita, G.; Iannaccone, G. A Sub-1-V, 10 ppm/°C, Nanopower Voltage Reference Generator. IEEE J. Solid-State Circuits 2007, 42, 1536–1542. [Google Scholar] [CrossRef]
  32. Dong, Q.; Yang, K.; Blaauw, D.; Sylvester, D. A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems. In Proceedings of the 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), Honolulu, HI, USA, 15–17 June 2016; pp. 1–2. [Google Scholar] [CrossRef]
  33. Fassio, L.; Lin, L.; De Rose, R.; Lanuzza, M.; Crupi, F.; Alioto, M. Trimming-Less Voltage Reference for Highly Uncertain Harvesting Down to 0.25 V, 5.4 pW. IEEE J. Solid-State Circuits 2021. [Google Scholar] [CrossRef]
  34. Bruschi, P.; Catania, A.; Del Cesta, S.; Piotto, M. A Two-Stage Switched-Capacitor Integrator for High Gain Inverter-Like Architectures. IEEE Trans. Circuits Syst. II Express Briefs 2020, 67, 210–214. [Google Scholar] [CrossRef]
  35. Del Cesta, S.; Ria, A.; Simmarano, R.; Piotto, M.; Bruschi, P. A compact programmable differential voltage reference with unbuffered 4 mA output current capability and ±0.4% untrimmed spread. In Proceedings of the ESSCIRC 2017—43rd IEEE European Solid State Circuits Conference, Leuven, Belgium, 11–14 September 2017; pp. 11–14. [Google Scholar] [CrossRef]
  36. Ria, A.; Catania, A.; Cicalini, M.; Benvenuti, L.; Piotto, M.; Bruschi, P. A Sub-1V CMOS Switched Capacitor Voltage Reference with high output current capability. In Proceedings of the 2019 15th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), Lausanne, Switzerland, 15–18 July 2019; pp. 9–12. [Google Scholar] [CrossRef]
  37. Enz, C.C.; Krummenacher, F.; Vittoz, E.A. An analytical MOS transistor model valid in all regions of operation and dedicated to low-voltage and low-current applications. Analog Integr. Circuits Signal Process. 1995, 8, 83–114. [Google Scholar] [CrossRef]
  38. Enz, C.C.; Vittoz, E.A. Charge-Based MOS Transistor Modeling: The EKV Model for Low-Power and RF IC Design; John Wiley & Sons: Hoboken, NJ, USA, 2006. [Google Scholar] [CrossRef]
  39. Majumder, S.; Mondal, T.; Deen, M.J. Wearable Sensors for Remote Health Monitoring. Sensors 2017, 17, 130. [Google Scholar] [CrossRef]
  40. Magnelli, L.; Crupi, F.; Corsonello, P.; Pace, C.; Iannaccone, G. A 2.6 nW, 0.45 V Temperature-Compensated Subthreshold CMOS Voltage Reference. IEEE J. Solid-State Circuits 2011, 46, 465–474. [Google Scholar] [CrossRef]
  41. Wang, Y.; Zhu, Z.; Yao, J.; Yang, Y. A 0.45-V, 14.6-nW CMOS Subthreshold Voltage Reference With No Resistors and No BJTs. IEEE Trans. Circuits Syst. II Express Briefs 2015, 62, 621–625. [Google Scholar] [CrossRef]
Figure 1. Schematic view of (a) the bandgap reference voltage proposed in [15] and (b) its all-MOSFET version.
Figure 1. Schematic view of (a) the bandgap reference voltage proposed in [15] and (b) its all-MOSFET version.
Electronics 10 01901 g001
Figure 2. Temperature behavior of Δ V G S (a) and V r e f (b) in the BG core of Figure 1b, for different values of V t h , considering or neglecting the V D S effect on the drain current.
Figure 2. Temperature behavior of Δ V G S (a) and V r e f (b) in the BG core of Figure 1b, for different values of V t h , considering or neglecting the V D S effect on the drain current.
Electronics 10 01901 g002
Figure 3. Schematic view of (a) the proposed bandgap reference voltage and (b) its equivalent small signal circuit.
Figure 3. Schematic view of (a) the proposed bandgap reference voltage and (b) its equivalent small signal circuit.
Electronics 10 01901 g003
Figure 4. Temperature behavior of V r e f and Δ V G S in the BG core of Figure 3a, for V d d = 0.5 V, considering or neglecting the V D S effect on the drain current, for V t h = 110 mV (a) and V t h = 50 mV (b).
Figure 4. Temperature behavior of V r e f and Δ V G S in the BG core of Figure 3a, for V d d = 0.5 V, considering or neglecting the V D S effect on the drain current, for V t h = 110 mV (a) and V t h = 50 mV (b).
Electronics 10 01901 g004
Figure 5. Supply voltage dependence of V r e f (at T = T 0 ) and the Temperature Coefficient (TC), considering or neglecting the V D S effect on the drain current.
Figure 5. Supply voltage dependence of V r e f (at T = T 0 ) and the Temperature Coefficient (TC), considering or neglecting the V D S effect on the drain current.
Electronics 10 01901 g005
Figure 6. Schematic view of the proposed LV-VR.
Figure 6. Schematic view of the proposed LV-VR.
Electronics 10 01901 g006
Figure 7. Schematic view of the auxiliary LV-comparator.
Figure 7. Schematic view of the auxiliary LV-comparator.
Electronics 10 01901 g007
Figure 8. Micrograph of the proposed voltage reference with the layout aligned and superimposed in order to show the devices and interconnections that would be otherwise hidden below the planarization dummies. The dimensions and the main blocks are indicated.
Figure 8. Micrograph of the proposed voltage reference with the layout aligned and superimposed in order to show the devices and interconnections that would be otherwise hidden below the planarization dummies. The dimensions and the main blocks are indicated.
Electronics 10 01901 g008
Figure 9. Measured output voltage, V r e f , as a function of the power supply at room temperature.
Figure 9. Measured output voltage, V r e f , as a function of the power supply at room temperature.
Electronics 10 01901 g009
Figure 10. Measured temperature dependence of the output voltage in the range 10 °C to 80 °C.
Figure 10. Measured temperature dependence of the output voltage in the range 10 °C to 80 °C.
Electronics 10 01901 g010
Figure 11. Measured output reference voltage vs. sourced output current.
Figure 11. Measured output reference voltage vs. sourced output current.
Electronics 10 01901 g011
Figure 12. Output noise spectral density. Noise density in the flat region is indicated.
Figure 12. Output noise spectral density. Noise density in the flat region is indicated.
Electronics 10 01901 g012
Table 1. Sizing of LV-VR transistors.
Table 1. Sizing of LV-VR transistors.
DeviceTypeW ( μ m)L ( μ m)m
M 1 LVT331
M 2 LVT335
M 3 ZVT3.50.354
M 4 RVT1.80.182
M 5 ZVT0.50.51
M 6 RVT3310
M I 1 n RVT221
M I 1 p RVT224
M I 2 n RVT221
M I 2 p RVT224
M I 3 n RVT221
M I 3 p RVT224
M I 4 n RVT0.280.181
M I 4 p RVT0.280.184
M I 5 n RVT0.280.181
M I 5 p RVT0.280.184
Table 2. Sizing of LV-VR passive components.
Table 2. Sizing of LV-VR passive components.
DeviceValueDeviceValue
R 1 616 k Ω C T 1 pF
R 2 616 k Ω C F 1 pF
R T 154 k Ω C H 1 pF
C S 1 pF C SU 200 fF
Table 3. Performance summary and comparison of state-of-the-art LV VRs.
Table 3. Performance summary and comparison of state-of-the-art LV VRs.
This Work[22][40][23][41][29][33][27]
Technology (nm)18013018065180110180180
Power (nW)3151702.638145.35 × 10 3 5.4 × 10 3 19
V d d m i n (V)0.50.750.450.50.450.2420.250.7
V r e f (V)0.2330.256263.50.4950.1180.1950.0910.438
PSRR (dB)−44@100 HzN.A−40@30 Hz−50@DC−40@100 HzN.A.−70@100 HzN.A.
Temperature range (°C)10–50−20–850–125−40–120−40–125N.A.0–120−25–85
TC (ppm/°C)45401654263.613426522.1
TrimmedNOYESNOYESYESYESNOYES
LR (mV/V)1.440.0131.163.21.280.1450.571
Area (mm2)0.0150.0550.0430.05220.0120.0130.00220.041
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Ria, A.; Catania, A.; Bruschi, P.; Piotto, M. A Low-Power CMOS Bandgap Voltage Reference for Supply Voltages Down to 0.5 V. Electronics 2021, 10, 1901. https://doi.org/10.3390/electronics10161901

AMA Style

Ria A, Catania A, Bruschi P, Piotto M. A Low-Power CMOS Bandgap Voltage Reference for Supply Voltages Down to 0.5 V. Electronics. 2021; 10(16):1901. https://doi.org/10.3390/electronics10161901

Chicago/Turabian Style

Ria, Andrea, Alessandro Catania, Paolo Bruschi, and Massimo Piotto. 2021. "A Low-Power CMOS Bandgap Voltage Reference for Supply Voltages Down to 0.5 V" Electronics 10, no. 16: 1901. https://doi.org/10.3390/electronics10161901

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop