Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

Article Types

Countries / Regions

Search Results (65)

Search Parameters:
Keywords = extreme ultraviolet (EUV)

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
28 pages, 4300 KiB  
Review
Thermal Control Systems in Projection Lithography Tools: A Comprehensive Review
by Di Cao, He Dong, Zhibo Zeng, Wei Zhang, Xiaoping Li and Hangcheng Yu
Micromachines 2025, 16(8), 880; https://doi.org/10.3390/mi16080880 - 29 Jul 2025
Viewed by 645
Abstract
This review examines the design of thermal control systems for state-of-the-art deep ultraviolet (DUV) and extreme ultraviolet (EUV) projection lithography tools. The lithographic system under investigation integrates several critical subsystems along the optical transmission chain, including the light source, reticle stage, projection optics [...] Read more.
This review examines the design of thermal control systems for state-of-the-art deep ultraviolet (DUV) and extreme ultraviolet (EUV) projection lithography tools. The lithographic system under investigation integrates several critical subsystems along the optical transmission chain, including the light source, reticle stage, projection optics (featuring DUV refractive lenses and EUV multilayer mirrors), immersion liquid, wafer stage, and metrology systems. Under high-power irradiation conditions with concurrent thermal perturbations, the degradation of thermal stability and gradient uniformity within these subsystems significantly compromises exposure precision. Through a systematic analysis of the thermal challenges specific to each subsystem, this review synthesizes established thermal control systems across two technical dimensions: thermal control structures and thermal control algorithms. Prospects for future advancements in lithographic thermal control are also discussed. Full article
(This article belongs to the Special Issue Recent Advances in Lithography)
Show Figures

Figure 1

13 pages, 1895 KiB  
Article
Class-Dependent Solar Flare Effects on Mars’ Upper Atmosphere: MAVEN NGIMS Observations of X8.2 and M6.0 from September 2017
by Junaid Haleem and Shican Qiu
Universe 2025, 11(8), 245; https://doi.org/10.3390/universe11080245 - 25 Jul 2025
Viewed by 309
Abstract
Transient increments of X-ray radiation and extreme ultraviolet (EUV) during solar flares are strong drivers of thermospheric dynamics on Mars, yet their class-dependent impacts remain poorly measured. This work provides the first direct, side-by-side study of Martian thermospheric reactions to flares X8.2 on [...] Read more.
Transient increments of X-ray radiation and extreme ultraviolet (EUV) during solar flares are strong drivers of thermospheric dynamics on Mars, yet their class-dependent impacts remain poorly measured. This work provides the first direct, side-by-side study of Martian thermospheric reactions to flares X8.2 on 10 September 2017 and M6.0 on 17 September 2017. This study shows nonlinear, class-dependent effects, compositional changes, and recovery processes not recorded in previous investigations. Species-specific responses deviated significantly from irradiance proportionality, even though the soft X-ray flux in the X8.2 flare was 13 times greater. Argon (Ar) concentrations rose 3.28× (compared to 1.13× for M6.0), and radiative cooling led CO2 heating to approach a halt at ΔT = +40 K (X8.2) against +19 K (M6.0) at exobase altitudes (196–259 km). N2 showed the largest class difference, where temperatures rose by +126 K (X8.2) instead of +19 K (M6.0), therefore displaying flare-magnitude dependent thermal sensitivity. The 1.95× increase in O concentrations during X8.2 and the subsequent decrease following M6.0 (−39 K cooling) illustrate the contradiction between photochemical production and radiative loss. The O/CO2 ratio at 225 km dropped 46% during X8.2, revealing compositional gradients boosted by flares. Recovery timeframes varied by class; CO2 quickly re-equilibrated because of effective cooling, whereas inert species (Ar, N2) stabilized within 1–2 orbits after M6.0 but needed >10 orbits of the MAVEN satellite after the X8.2 flare. The observations of the X8.2 flare came from the western limb of the Sun, but the M6.0 flare happened on the far side. The CME shock was the primary driver of Mars’ EUV reaction. These findings provide additional information on atmospheric loss and planetary habitability by indicating that Mars’ thermosphere has a saturation threshold where strong flares induce nonlinear energy partitioning that encourages the departure of lighter species. Full article
Show Figures

Figure 1

19 pages, 1911 KiB  
Review
Review of Directed Self-Assembly Material, Processing, and Application in Advanced Lithography and Patterning
by Xiuyan Cheng, Di Liang, Miao Jiang, Yufei Sha, Xiaonan Liu, Jinlai Liu, Qingchen Cao and Jiangliu Shi
Micromachines 2025, 16(6), 667; https://doi.org/10.3390/mi16060667 - 31 May 2025
Cited by 1 | Viewed by 1845
Abstract
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly [...] Read more.
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly enhances the resolution of target patterns and device density. Currently, there are two commonly used methods in DSA: graphoepitaxy, employing lithographically defined topographic templates to guide BCP assembly, and chemoepitaxy, utilizing chemically patterned surfaces with precisely controlled interfacial energies to direct nanoscale phase segregation. Through novel DSA lithography technology, nanoscale patterns with smaller feature sizes and higher densities can be obtained, realizing the miniaturization of hole and line patterns and pitch multiplication and improving the roughness and local critical dimension uniformity (LCDU). It is gradually becoming one of the most promising and advanced lithography techniques. DSA lithography technology has been applied in logic, memory, and optoelectronic device fabrications. Full article
(This article belongs to the Special Issue Recent Advances in Lithography)
Show Figures

Figure 1

15 pages, 9597 KiB  
Article
Extreme Ultraviolet Multilayer Defect Profile Parameters Reconstruction via Transfer Learning with Fine-Tuned VGG-16
by Hala Mohammad, Jiawei Li, Bochao Li, Jamilu Tijjani Baraya, Sana Kone, Zhenlong Zhao, Xiaowei Song and Jingquan Lin
Micromachines 2025, 16(5), 541; https://doi.org/10.3390/mi16050541 - 30 Apr 2025
Viewed by 571
Abstract
Extracting defect profile parameters from measured defect images poses a significant challenge in extreme ultraviolet (EUV) multilayer defect metrologies, because these parameters are crucial for assessing defect printing behavior and determining appropriate repair strategies. This paper proposes to reconstruct defect profile parameters from [...] Read more.
Extracting defect profile parameters from measured defect images poses a significant challenge in extreme ultraviolet (EUV) multilayer defect metrologies, because these parameters are crucial for assessing defect printing behavior and determining appropriate repair strategies. This paper proposes to reconstruct defect profile parameters from reflected field intensity images of a phase defect assisted by transfer learning with fine-tuning. These images are generated through simulations using the rigorous finite-difference time-domain (FDTD) method. The VGG-16 pre-trained model, known for its robust feature extraction capability, is adopted and fine-tuned to map the intensity images to the defect profile parameters. The results demonstrate that the proposed approach accurately reconstructs multilayer defect profile parameters, thus providing important information for mask repair strategies. Full article
(This article belongs to the Special Issue Recent Advances in Lithography)
Show Figures

Graphical abstract

15 pages, 6305 KiB  
Article
A Study on the Spectral Characteristics of 83.4 nm Extreme Ultraviolet Filters
by Qian Liu, Aiming Zhou, Hanlin Wang, Pingxu Wang, Chen Tao, Guang Zhang, Xiaodong Wang and Bo Chen
Coatings 2025, 15(5), 535; https://doi.org/10.3390/coatings15050535 - 30 Apr 2025
Viewed by 710
Abstract
Extreme ultraviolet (EUV) imagers are key tools to monitor the space environment and forecast space weather. EUV filters are important components to block radiation in the ultraviolet (UV), visible, and near-infrared (IR) regions. In this study, various characterization methods were proposed for the [...] Read more.
Extreme ultraviolet (EUV) imagers are key tools to monitor the space environment and forecast space weather. EUV filters are important components to block radiation in the ultraviolet (UV), visible, and near-infrared (IR) regions. In this study, various characterization methods were proposed for the nickel mesh-supported indium (In) filter, and their spectral characteristics were comprehensively studied. The material and thickness of the filter were chosen based on atomic scattering principles, determined through theoretical calculation and software simulation. The metal film was deposited using the vacuum-resistive thermal evaporation method. The measured transmission of the filter was 10.06% at 83.4 nm. The surface elements of the sample were analyzed using X-ray photoelectron spectroscopy (XPS). The surface and cross-sectional morphologies of the filter were observed using a scanning electron microscope (SEM). The impact of the oxide layer and carbon contamination on the filter’s transmittance was investigated using an ellipsometer. A multilayer “In-In2O3-C” model was established to determine the thickness of both the oxide layer and carbon contamination layer on the filter. This model introduces the filling factor based on the original model and considers the diffusion of the contamination layer, resulting in more accurate fitting results. The transmittance of the filter in the visible light range was measured using a UV-VIS spectrophotometer, and the measurement error was analyzed. This article provides preparation methods and test methods for the 83.4 nm EUV filter and conducts a detailed analysis of the spectral characteristics of the prepared optical filters, which hold significant value for space exploration applications. Full article
Show Figures

Figure 1

13 pages, 3590 KiB  
Article
Study on the Wavelength-Dependent Temporal Waveform Characteristics of a High-Pressure CO2 Master Oscillator Power Amplifier System
by Zefan Huang, Ming Wen, Ziren Zhu, Jinzhou Bai, Jingjin Fu, Heng Wang, Tianjian Wan, Rongqing Tan and Yijun Zheng
Photonics 2025, 12(4), 346; https://doi.org/10.3390/photonics12040346 - 8 Apr 2025
Viewed by 338
Abstract
This study systematically investigates the temporal characteristics of a high-pressure CO2 master oscillator power amplifier (MOPA) system under tunable spectral lines. Based on a continuously tunable CO2 oscillator–amplifier system, we experimentally measured the variation in the laser pulse width before and [...] Read more.
This study systematically investigates the temporal characteristics of a high-pressure CO2 master oscillator power amplifier (MOPA) system under tunable spectral lines. Based on a continuously tunable CO2 oscillator–amplifier system, we experimentally measured the variation in the laser pulse width before and after amplification at different spectral lines, with the oscillator and amplifier operating at pressures of 7 atm and 3 atm, respectively. The results indicate that, for most spectral lines, the laser pulse width remained nearly unchanged after amplification. However, at certain spectral lines, a distinct phenomenon was observed: pulse broadening for strong lines and pulse narrowing for weak lines. To explain this phenomenon, theoretical calculations were conducted based on a high-pressure CO2 six-temperature model, and the experimental results were analyzed from the perspective of small-signal gain dynamics. This study reveals that variations in the laser pulse width primarily originated from differences in the gain build-up time across different spectral lines, which in turn influenced the amplification of both the pulse pedestal and the main pulse. For strong spectral lines, the amplifier gain built up rapidly, leading to more uniform amplification of the entire laser pulse and resulting in pulse broadening. Conversely, for weak spectral lines, the amplifier gain built up more slowly, with amplification primarily concentrated in the main pulse, causing a reduction in the pulse width. This finding has significant implications for optimizing narrow-pulse CO2 lasers and provides crucial insights into the temporal characteristics of applications, such as laser isotope separation and extreme ultraviolet (EUV) light source generation. Full article
Show Figures

Figure 1

14 pages, 10258 KiB  
Article
Atomic Simulation of Wear and Slip Behavior Between Monocrystalline Silicon and 6H-SiC Friction Pair
by Jiansheng Pan, Jianwei Wu, Daiyi Lei, Huan Liu, Pengyue Zhao, Bo Zhao, Jiang Liu and Qingshan Yang
Lubricants 2025, 13(4), 147; https://doi.org/10.3390/lubricants13040147 - 27 Mar 2025
Viewed by 520
Abstract
The slip mechanism between the chunk and wafer during high-speed dynamic scanning of the extreme ultraviolet lithography (EUV) motion stage remains unclear. Considering real-machined roughness, molecular dynamics (MD) simulations were performed to investigate the nanotribological behavior of 6H-SiC sliders on single-crystal silicon substrates. [...] Read more.
The slip mechanism between the chunk and wafer during high-speed dynamic scanning of the extreme ultraviolet lithography (EUV) motion stage remains unclear. Considering real-machined roughness, molecular dynamics (MD) simulations were performed to investigate the nanotribological behavior of 6H-SiC sliders on single-crystal silicon substrates. The effects of sinusoidal asperity parameters and normal loads on wear and slip were systematically analyzed. Results indicate that, for friction between sinusoidal asperities and ideal flat surfaces, the amplitude of surface parameters exhibits negligible influence on friction. In contrast, reduced normal loads and lower periods significantly increase both friction force and coefficient of friction (COF). Full article
(This article belongs to the Special Issue Recent Advances in Lubricated Tribological Contacts)
Show Figures

Figure 1

60 pages, 13122 KiB  
Review
Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication
by Prithvi Basu, Jyoti Verma, Vishnuram Abhinav, Ratneshwar Kumar Ratnesh, Yogesh Kumar Singla and Vibhor Kumar
Int. J. Mol. Sci. 2025, 26(7), 3027; https://doi.org/10.3390/ijms26073027 - 26 Mar 2025
Cited by 5 | Viewed by 6429
Abstract
Lithography is crucial to semiconductor manufacturing, enabling the production of smaller, more powerful electronic devices. This review explores the evolution, principles, and advancements of key lithography techniques, including extreme ultraviolet (EUV) lithography, electron beam lithography (EBL), X-ray lithography (XRL), ion beam lithography (IBL), [...] Read more.
Lithography is crucial to semiconductor manufacturing, enabling the production of smaller, more powerful electronic devices. This review explores the evolution, principles, and advancements of key lithography techniques, including extreme ultraviolet (EUV) lithography, electron beam lithography (EBL), X-ray lithography (XRL), ion beam lithography (IBL), and nanoimprint lithography (NIL). Each method is analyzed based on its working principles, resolution, resist materials, and applications. EUV lithography, with sub-10 nm resolution, is vital for extending Moore’s Law, leveraging high-NA optics and chemically amplified resists. EBL and IBL enable high-precision maskless patterning for prototyping but suffer from low throughput. XRL, using synchrotron radiation, achieves deep, high-resolution features, while NIL provides a cost-effective, high-throughput method for replicating nanostructures. Alignment marks play a key role in precise layer-to-layer registration, with innovations enhancing accuracy in advanced systems. The mask fabrication process is also examined, highlighting materials like molybdenum silicide for EUV and defect mitigation strategies such as automated inspection and repair. Despite challenges in resolution, defect control, and material innovation, lithography remains indispensable in semiconductor scaling, supporting applications in integrated circuits, photonics, and MEMS/NEMS devices. Various molecular strategies, mechanisms, and molecular dynamic simulations to overcome the fundamental lithographic limits are also highlighted in detail. This review offers insights into lithography’s present and future, aiding researchers in nanoscale manufacturing advancements. Full article
Show Figures

Figure 1

13 pages, 3806 KiB  
Article
Impact of Sn Particle-Induced Mask Diffraction on EUV Lithography Performance Across Different Pattern Types
by Seungchan Moon, Dong Gi Lee, Jinhyuk Choi, Junho Hong, Taeho Lee, Yasin Ekinci and Jinho Ahn
Photonics 2025, 12(3), 266; https://doi.org/10.3390/photonics12030266 - 14 Mar 2025
Cited by 1 | Viewed by 1759
Abstract
This study investigates the differences in the lithographic impact of particles on the pellicle surface depending on the type of extreme ultraviolet (EUV) mask pattern. Using an EUV ptychography microscope, we analyzed how mask imaging performance is affected by locally obstructed mask diffraction [...] Read more.
This study investigates the differences in the lithographic impact of particles on the pellicle surface depending on the type of extreme ultraviolet (EUV) mask pattern. Using an EUV ptychography microscope, we analyzed how mask imaging performance is affected by locally obstructed mask diffraction caused by a 10 μm × 10 μm patterned tin particle intentionally fabricated on the pellicle surface. The resulting critical dimension variations were found to be approximately three times greater in line-and-space patterns than in contact hole patterns. Based on these findings, we recommend defining the critical size of particles according to the mask pattern type to optimize lithographic quality. Full article
Show Figures

Graphical abstract

12 pages, 3444 KiB  
Article
Enhancing Parameters Tuning of Overlay Models with Ridge Regression: Addressing Multicollinearity in High-Dimensional Data
by Aris Magklaras, Christos Gogos, Panayiotis Alefragis and Alexios Birbas
Mathematics 2024, 12(20), 3179; https://doi.org/10.3390/math12203179 - 11 Oct 2024
Cited by 3 | Viewed by 1886
Abstract
The extreme ultraviolet (EUV) photolithography process is a cornerstone of semiconductor manufacturing and operates under demanding precision standards realized via nanometer-level overlay (OVL) error modeling. This procedure allows the machine to anticipate and correct OVL errors before impacting the wafer, thereby facilitating near-optimal [...] Read more.
The extreme ultraviolet (EUV) photolithography process is a cornerstone of semiconductor manufacturing and operates under demanding precision standards realized via nanometer-level overlay (OVL) error modeling. This procedure allows the machine to anticipate and correct OVL errors before impacting the wafer, thereby facilitating near-optimal image exposure while simultaneously minimizing the overall OVL error. Such models are usually high dimensional and exhibit rigorous statistical phenomena such as collinearities that play a crucial role in the process of tuning their parameters. Ordinary least squares (OLS) is the most widely used method for parameters tuning of overlay models, but in most cases it fails to compensate for such phenomena. In this paper, we propose the usage of ridge regression, a widely known machine learning (ML) algorithm especially suitable for datasets that exhibit high multicollinearity. The proposed method was applied in perturbed data from a 300 mm wafer fab, and the results show reduced residuals when ridge regression is applied instead of OLS. Full article
(This article belongs to the Special Issue Optimization Algorithms: Theory and Applications)
Show Figures

Figure 1

5 pages, 196 KiB  
Article
Measurement and Flexible Atomic Code (FAC) Computation of Extreme Ultraviolet (EUV) Spectra of Eu
by Joel H. T. Clementson, Peter Beiersdorfer, Gregory V. Brown, Natalie Hell and Elmar Träbert
Atoms 2024, 12(10), 48; https://doi.org/10.3390/atoms12100048 - 27 Sep 2024
Viewed by 1356
Abstract
A group of EUV lines of H- and He-like ions of C provides excellent wavelength calibrations for a position-sensitive multichannel detector at a high-resolution spectrograph. We have exploited this setting for a series of spectra of highly charged Eu ions recorded at the [...] Read more.
A group of EUV lines of H- and He-like ions of C provides excellent wavelength calibrations for a position-sensitive multichannel detector at a high-resolution spectrograph. We have exploited this setting for a series of spectra of highly charged Eu ions recorded at the Livermore SuperEBIT electron beam ion trap. A variation in the electron beam energy results in spectra with correspondingly staggered highest Eu ion charge states ranging from Na- through to Ni-like Eu ions. A number of spectral features can be identified from the literature, but the majority of line identifications need guidance from computations of simulated spectra on the basis of collisional-radiative models. For ions with more than two electrons in the valence shell, the typical computational results are of a markedly lower accuracy. We have applied the Flexible Atomic Code (FAC), which is capable of handling all our measured ions with reasonable accuracy. We look into the systematics of the deviation of the computed transition energies from the measured ones as a function of the electron number. Full article
(This article belongs to the Special Issue 21st International Conference on the Physics of Highly Charged Ions)
Show Figures

Figure 1

15 pages, 2965 KiB  
Article
Degradation of Perfluorododecyl-Iodide Self-Assembled Monolayers upon Exposure to Ambient Light
by Lauren Colbeck Kirby, Jayant K. Lodha, Simon Astley, Dave Skelton, Silvia Armini, Andrew Evans and Anita Brady-Boyd
Nanomaterials 2024, 14(11), 982; https://doi.org/10.3390/nano14110982 - 5 Jun 2024
Cited by 1 | Viewed by 5291 | Correction
Abstract
Perfluorododecyl iodide (I-PFC12) is of interest for area-selective deposition (ASD) applications as it exhibits intriguing properties such as ultralow surface energy, the ability to modify silicon’s band gap, low surface friction, and suitability for micro-contact patterning. Traditional photolithography is struggling to reach the [...] Read more.
Perfluorododecyl iodide (I-PFC12) is of interest for area-selective deposition (ASD) applications as it exhibits intriguing properties such as ultralow surface energy, the ability to modify silicon’s band gap, low surface friction, and suitability for micro-contact patterning. Traditional photolithography is struggling to reach the required critical dimensions. This study investigates the potential of using I-PFC12 as a way to produce contrast between the growth area and non-growth areas of a surface subsequent to extreme ultraviolet (EUV) exposure. Once exposed to EUV, the I-PFC12 molecule should degrade with the help of the photocatalytic substrate, allowing for the subsequent selective deposition of the hard mask. The stability of a vapor-deposited I-PFC12 self-assembled monolayer (SAM) was examined when exposed to ambient light for extended periods of time by using X-ray photoelectron spectroscopy (XPS). Two substrates, SiO2 and TiO2, are investigated to ascertain the suitability of using TiO2 as a photocatalytic active substrate. Following one month of exposure to light, the atomic concentrations showed a more substantial fluorine loss of 10.2% on the TiO2 in comparison to a 6.2% loss on the SiO2 substrate. This more pronounced defluorination seen on the TiO2 is attributed to its photocatalytic nature. Interestingly, different routes to degradation were observed for each substrate. Reference samples preserved in dark conditions with no light exposure for up to three months show little degradation on the SiO2 substrate, while no change is observed on the TiO2 substrate. The results reveal that the I-PFC12 SAM is an ideal candidate for resistless EUV lithography. Full article
(This article belongs to the Special Issue Trends and Prospects in Nanoscale Thin Films and Coatings)
Show Figures

Figure 1

13 pages, 4496 KiB  
Article
Mitigating the Impact of Asymmetric Deformation on Advanced Metrology for Photolithography
by Wenhe Yang, Shuxin Yao, Jing Cao and Nan Lin
Appl. Sci. 2024, 14(11), 4440; https://doi.org/10.3390/app14114440 - 23 May 2024
Cited by 1 | Viewed by 1636
Abstract
Controlling overlay in lithography is crucial for improving the yield of integrated circuit manufacturing. The process disturbances can cause undesirable morphology changes of overlay targets (such as asymmetric grating), which can significantly impact the accuracy of overlay metrology. It is essential to decouple [...] Read more.
Controlling overlay in lithography is crucial for improving the yield of integrated circuit manufacturing. The process disturbances can cause undesirable morphology changes of overlay targets (such as asymmetric grating), which can significantly impact the accuracy of overlay metrology. It is essential to decouple the overlay target asymmetry from the wafer deformation, ensuring that the overlay metrology is free from the influence of process-induced asymmetry (e.g., grating asymmetry and grating imbalance). Herein, we use an asymmetric grating as a model and show that using high-diffraction-order light can mitigate the impact of asymmetric grating through the rigorous coupled-wave analysis (RCWA) method. In addition, we demonstrate the diffraction efficiency as a function of the diffraction order, wavelength, and pitch, which has guiding significance for improving the measurement accuracy of diffraction-based overlay (DBO) metrology. Full article
(This article belongs to the Special Issue Ultra-Precision Machining Technology and Equipments)
Show Figures

Figure 1

17 pages, 5518 KiB  
Article
EUV Radiation in the Range of 10–20 nm from Liquid Spray Targets Containing O, Cl, Br and I Atoms under Pulsed Laser Excitation
by Valerie E. Guseva, Andrey N. Nechay, Alexander A. Perekalov and Nicolay I. Chkhalo
Photonics 2024, 11(5), 438; https://doi.org/10.3390/photonics11050438 - 8 May 2024
Cited by 1 | Viewed by 1261
Abstract
The article describes the results of an investigation to determine the values of radiation intensities emitted by O-, Cl-, Br-, and I-containing liquid spray targets in absolute units in the wavelength range 10–20 nm when excited by pulsed laser radiation. The conversion coefficients [...] Read more.
The article describes the results of an investigation to determine the values of radiation intensities emitted by O-, Cl-, Br-, and I-containing liquid spray targets in absolute units in the wavelength range 10–20 nm when excited by pulsed laser radiation. The conversion coefficients of laser radiation into the EUV radiation are given for some wavelengths. The authors’ specially designed pulse extrusion liquid supply system was used to form the liquid spray targets. An Nd:YAG laser with λ = 1064 nm, τ = 8.4 ns, and Epulse = 0.8 J was used to excite the targets. Spectral measurements were made using a grazing incidence grating spectrometer–monochromator. The absolute intensities of a number of emission lines were also measured using a Bragg spectrometer based on a Mo/Be multilayer X-ray mirror, calibrated by both sensitivity and wavelength. The high values of absolute intensities of the liquid targets in the extreme ultraviolet wavelength range were demonstrated. Full article
(This article belongs to the Special Issue Advances and Applications of Solid State Lasers)
Show Figures

Figure 1

24 pages, 12995 KiB  
Review
Progress in Polyhedral Oligomeric Silsesquioxane (POSS) Photoresists: A Comprehensive Review across Lithographic Systems
by Zaoxia Wen, Xingyu Liu, Wenxiu Chen, Ruolin Zhou, Hao Wu, Yongmei Xia and Lianbin Wu
Polymers 2024, 16(6), 846; https://doi.org/10.3390/polym16060846 - 19 Mar 2024
Cited by 7 | Viewed by 4389
Abstract
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on [...] Read more.
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on critical parameters such as film formation, sensitivity, resolution, solubility, and edge roughness. These lithographic systems encompass X-ray lithography (XRL), deep ultraviolet nanoimprint lithography (DUV-NIL), extreme ultraviolet lithography (EUV), and guided self-assembled lithography (DSA). The principal objective of this paper is to furnish valuable insights into the development and utilization of POSS-based photoresist materials in diverse lithographic contexts. Full article
(This article belongs to the Section Polymer Applications)
Show Figures

Figure 1

Back to TopTop