Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

Article Types

Countries / Regions

Search Results (20)

Search Parameters:
Keywords = extreme ultraviolet (EUV) lithography

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
28 pages, 4300 KiB  
Review
Thermal Control Systems in Projection Lithography Tools: A Comprehensive Review
by Di Cao, He Dong, Zhibo Zeng, Wei Zhang, Xiaoping Li and Hangcheng Yu
Micromachines 2025, 16(8), 880; https://doi.org/10.3390/mi16080880 - 29 Jul 2025
Viewed by 458
Abstract
This review examines the design of thermal control systems for state-of-the-art deep ultraviolet (DUV) and extreme ultraviolet (EUV) projection lithography tools. The lithographic system under investigation integrates several critical subsystems along the optical transmission chain, including the light source, reticle stage, projection optics [...] Read more.
This review examines the design of thermal control systems for state-of-the-art deep ultraviolet (DUV) and extreme ultraviolet (EUV) projection lithography tools. The lithographic system under investigation integrates several critical subsystems along the optical transmission chain, including the light source, reticle stage, projection optics (featuring DUV refractive lenses and EUV multilayer mirrors), immersion liquid, wafer stage, and metrology systems. Under high-power irradiation conditions with concurrent thermal perturbations, the degradation of thermal stability and gradient uniformity within these subsystems significantly compromises exposure precision. Through a systematic analysis of the thermal challenges specific to each subsystem, this review synthesizes established thermal control systems across two technical dimensions: thermal control structures and thermal control algorithms. Prospects for future advancements in lithographic thermal control are also discussed. Full article
(This article belongs to the Special Issue Recent Advances in Lithography)
Show Figures

Figure 1

19 pages, 1911 KiB  
Review
Review of Directed Self-Assembly Material, Processing, and Application in Advanced Lithography and Patterning
by Xiuyan Cheng, Di Liang, Miao Jiang, Yufei Sha, Xiaonan Liu, Jinlai Liu, Qingchen Cao and Jiangliu Shi
Micromachines 2025, 16(6), 667; https://doi.org/10.3390/mi16060667 - 31 May 2025
Viewed by 1712
Abstract
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly [...] Read more.
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly enhances the resolution of target patterns and device density. Currently, there are two commonly used methods in DSA: graphoepitaxy, employing lithographically defined topographic templates to guide BCP assembly, and chemoepitaxy, utilizing chemically patterned surfaces with precisely controlled interfacial energies to direct nanoscale phase segregation. Through novel DSA lithography technology, nanoscale patterns with smaller feature sizes and higher densities can be obtained, realizing the miniaturization of hole and line patterns and pitch multiplication and improving the roughness and local critical dimension uniformity (LCDU). It is gradually becoming one of the most promising and advanced lithography techniques. DSA lithography technology has been applied in logic, memory, and optoelectronic device fabrications. Full article
(This article belongs to the Special Issue Recent Advances in Lithography)
Show Figures

Figure 1

14 pages, 10258 KiB  
Article
Atomic Simulation of Wear and Slip Behavior Between Monocrystalline Silicon and 6H-SiC Friction Pair
by Jiansheng Pan, Jianwei Wu, Daiyi Lei, Huan Liu, Pengyue Zhao, Bo Zhao, Jiang Liu and Qingshan Yang
Lubricants 2025, 13(4), 147; https://doi.org/10.3390/lubricants13040147 - 27 Mar 2025
Viewed by 505
Abstract
The slip mechanism between the chunk and wafer during high-speed dynamic scanning of the extreme ultraviolet lithography (EUV) motion stage remains unclear. Considering real-machined roughness, molecular dynamics (MD) simulations were performed to investigate the nanotribological behavior of 6H-SiC sliders on single-crystal silicon substrates. [...] Read more.
The slip mechanism between the chunk and wafer during high-speed dynamic scanning of the extreme ultraviolet lithography (EUV) motion stage remains unclear. Considering real-machined roughness, molecular dynamics (MD) simulations were performed to investigate the nanotribological behavior of 6H-SiC sliders on single-crystal silicon substrates. The effects of sinusoidal asperity parameters and normal loads on wear and slip were systematically analyzed. Results indicate that, for friction between sinusoidal asperities and ideal flat surfaces, the amplitude of surface parameters exhibits negligible influence on friction. In contrast, reduced normal loads and lower periods significantly increase both friction force and coefficient of friction (COF). Full article
(This article belongs to the Special Issue Recent Advances in Lubricated Tribological Contacts)
Show Figures

Figure 1

60 pages, 13122 KiB  
Review
Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication
by Prithvi Basu, Jyoti Verma, Vishnuram Abhinav, Ratneshwar Kumar Ratnesh, Yogesh Kumar Singla and Vibhor Kumar
Int. J. Mol. Sci. 2025, 26(7), 3027; https://doi.org/10.3390/ijms26073027 - 26 Mar 2025
Cited by 4 | Viewed by 6054
Abstract
Lithography is crucial to semiconductor manufacturing, enabling the production of smaller, more powerful electronic devices. This review explores the evolution, principles, and advancements of key lithography techniques, including extreme ultraviolet (EUV) lithography, electron beam lithography (EBL), X-ray lithography (XRL), ion beam lithography (IBL), [...] Read more.
Lithography is crucial to semiconductor manufacturing, enabling the production of smaller, more powerful electronic devices. This review explores the evolution, principles, and advancements of key lithography techniques, including extreme ultraviolet (EUV) lithography, electron beam lithography (EBL), X-ray lithography (XRL), ion beam lithography (IBL), and nanoimprint lithography (NIL). Each method is analyzed based on its working principles, resolution, resist materials, and applications. EUV lithography, with sub-10 nm resolution, is vital for extending Moore’s Law, leveraging high-NA optics and chemically amplified resists. EBL and IBL enable high-precision maskless patterning for prototyping but suffer from low throughput. XRL, using synchrotron radiation, achieves deep, high-resolution features, while NIL provides a cost-effective, high-throughput method for replicating nanostructures. Alignment marks play a key role in precise layer-to-layer registration, with innovations enhancing accuracy in advanced systems. The mask fabrication process is also examined, highlighting materials like molybdenum silicide for EUV and defect mitigation strategies such as automated inspection and repair. Despite challenges in resolution, defect control, and material innovation, lithography remains indispensable in semiconductor scaling, supporting applications in integrated circuits, photonics, and MEMS/NEMS devices. Various molecular strategies, mechanisms, and molecular dynamic simulations to overcome the fundamental lithographic limits are also highlighted in detail. This review offers insights into lithography’s present and future, aiding researchers in nanoscale manufacturing advancements. Full article
Show Figures

Figure 1

13 pages, 3806 KiB  
Article
Impact of Sn Particle-Induced Mask Diffraction on EUV Lithography Performance Across Different Pattern Types
by Seungchan Moon, Dong Gi Lee, Jinhyuk Choi, Junho Hong, Taeho Lee, Yasin Ekinci and Jinho Ahn
Photonics 2025, 12(3), 266; https://doi.org/10.3390/photonics12030266 - 14 Mar 2025
Cited by 1 | Viewed by 1676
Abstract
This study investigates the differences in the lithographic impact of particles on the pellicle surface depending on the type of extreme ultraviolet (EUV) mask pattern. Using an EUV ptychography microscope, we analyzed how mask imaging performance is affected by locally obstructed mask diffraction [...] Read more.
This study investigates the differences in the lithographic impact of particles on the pellicle surface depending on the type of extreme ultraviolet (EUV) mask pattern. Using an EUV ptychography microscope, we analyzed how mask imaging performance is affected by locally obstructed mask diffraction caused by a 10 μm × 10 μm patterned tin particle intentionally fabricated on the pellicle surface. The resulting critical dimension variations were found to be approximately three times greater in line-and-space patterns than in contact hole patterns. Based on these findings, we recommend defining the critical size of particles according to the mask pattern type to optimize lithographic quality. Full article
Show Figures

Graphical abstract

15 pages, 2965 KiB  
Article
Degradation of Perfluorododecyl-Iodide Self-Assembled Monolayers upon Exposure to Ambient Light
by Lauren Colbeck Kirby, Jayant K. Lodha, Simon Astley, Dave Skelton, Silvia Armini, Andrew Evans and Anita Brady-Boyd
Nanomaterials 2024, 14(11), 982; https://doi.org/10.3390/nano14110982 - 5 Jun 2024
Cited by 1 | Viewed by 5273 | Correction
Abstract
Perfluorododecyl iodide (I-PFC12) is of interest for area-selective deposition (ASD) applications as it exhibits intriguing properties such as ultralow surface energy, the ability to modify silicon’s band gap, low surface friction, and suitability for micro-contact patterning. Traditional photolithography is struggling to reach the [...] Read more.
Perfluorododecyl iodide (I-PFC12) is of interest for area-selective deposition (ASD) applications as it exhibits intriguing properties such as ultralow surface energy, the ability to modify silicon’s band gap, low surface friction, and suitability for micro-contact patterning. Traditional photolithography is struggling to reach the required critical dimensions. This study investigates the potential of using I-PFC12 as a way to produce contrast between the growth area and non-growth areas of a surface subsequent to extreme ultraviolet (EUV) exposure. Once exposed to EUV, the I-PFC12 molecule should degrade with the help of the photocatalytic substrate, allowing for the subsequent selective deposition of the hard mask. The stability of a vapor-deposited I-PFC12 self-assembled monolayer (SAM) was examined when exposed to ambient light for extended periods of time by using X-ray photoelectron spectroscopy (XPS). Two substrates, SiO2 and TiO2, are investigated to ascertain the suitability of using TiO2 as a photocatalytic active substrate. Following one month of exposure to light, the atomic concentrations showed a more substantial fluorine loss of 10.2% on the TiO2 in comparison to a 6.2% loss on the SiO2 substrate. This more pronounced defluorination seen on the TiO2 is attributed to its photocatalytic nature. Interestingly, different routes to degradation were observed for each substrate. Reference samples preserved in dark conditions with no light exposure for up to three months show little degradation on the SiO2 substrate, while no change is observed on the TiO2 substrate. The results reveal that the I-PFC12 SAM is an ideal candidate for resistless EUV lithography. Full article
(This article belongs to the Special Issue Trends and Prospects in Nanoscale Thin Films and Coatings)
Show Figures

Figure 1

13 pages, 4496 KiB  
Article
Mitigating the Impact of Asymmetric Deformation on Advanced Metrology for Photolithography
by Wenhe Yang, Shuxin Yao, Jing Cao and Nan Lin
Appl. Sci. 2024, 14(11), 4440; https://doi.org/10.3390/app14114440 - 23 May 2024
Cited by 1 | Viewed by 1593
Abstract
Controlling overlay in lithography is crucial for improving the yield of integrated circuit manufacturing. The process disturbances can cause undesirable morphology changes of overlay targets (such as asymmetric grating), which can significantly impact the accuracy of overlay metrology. It is essential to decouple [...] Read more.
Controlling overlay in lithography is crucial for improving the yield of integrated circuit manufacturing. The process disturbances can cause undesirable morphology changes of overlay targets (such as asymmetric grating), which can significantly impact the accuracy of overlay metrology. It is essential to decouple the overlay target asymmetry from the wafer deformation, ensuring that the overlay metrology is free from the influence of process-induced asymmetry (e.g., grating asymmetry and grating imbalance). Herein, we use an asymmetric grating as a model and show that using high-diffraction-order light can mitigate the impact of asymmetric grating through the rigorous coupled-wave analysis (RCWA) method. In addition, we demonstrate the diffraction efficiency as a function of the diffraction order, wavelength, and pitch, which has guiding significance for improving the measurement accuracy of diffraction-based overlay (DBO) metrology. Full article
(This article belongs to the Special Issue Ultra-Precision Machining Technology and Equipments)
Show Figures

Figure 1

24 pages, 12995 KiB  
Review
Progress in Polyhedral Oligomeric Silsesquioxane (POSS) Photoresists: A Comprehensive Review across Lithographic Systems
by Zaoxia Wen, Xingyu Liu, Wenxiu Chen, Ruolin Zhou, Hao Wu, Yongmei Xia and Lianbin Wu
Polymers 2024, 16(6), 846; https://doi.org/10.3390/polym16060846 - 19 Mar 2024
Cited by 7 | Viewed by 4312
Abstract
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on [...] Read more.
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on critical parameters such as film formation, sensitivity, resolution, solubility, and edge roughness. These lithographic systems encompass X-ray lithography (XRL), deep ultraviolet nanoimprint lithography (DUV-NIL), extreme ultraviolet lithography (EUV), and guided self-assembled lithography (DSA). The principal objective of this paper is to furnish valuable insights into the development and utilization of POSS-based photoresist materials in diverse lithographic contexts. Full article
(This article belongs to the Section Polymer Applications)
Show Figures

Figure 1

27 pages, 7255 KiB  
Review
A Review of Emerging Technologies in Ultra-Smooth Surface Processing for Optical Components
by Wei Li, Qiang Xin, Bin Fan, Qiang Chen and Yonghong Deng
Micromachines 2024, 15(2), 178; https://doi.org/10.3390/mi15020178 - 25 Jan 2024
Cited by 16 | Viewed by 3847
Abstract
Advancements in astronomical telescopes and cutting-edge technologies, including deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography, have escalated demands and imposed stringent surface quality requirements on optical system components. Achieving near-ideal optical components requires ultra-smooth surfaces with sub-nanometer roughness, no sub-surface damage, minimal [...] Read more.
Advancements in astronomical telescopes and cutting-edge technologies, including deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography, have escalated demands and imposed stringent surface quality requirements on optical system components. Achieving near-ideal optical components requires ultra-smooth surfaces with sub-nanometer roughness, no sub-surface damage, minimal surface defects, low residual stresses, and intact lattice integrity. This necessity has driven the rapid development and diversification of ultra-smooth surface fabrication technologies. This paper summarizes recent advances in ultra-smooth surface processing technologies, categorized by their material removal mechanisms. A subsequent comparative analysis evaluates the roughness and polishing characteristics of ultra-smooth surfaces processed on various materials, including fused silica, monocrystalline silicon, silicon carbide, and sapphire. To maximize each process’s advantages and achieve higher-quality surfaces, the paper discusses tailored processing methods and iterations for different materials. Finally, the paper anticipates future development trends in response to current challenges in ultra-smooth surface processing technology, providing a systematic reference for the study of the production of large-sized freeform surfaces. Full article
(This article belongs to the Special Issue Research Progress of Ultra-Precision Micro-Nano Machining)
Show Figures

Figure 1

13 pages, 2744 KiB  
Article
Experimental Study on the Temporal Evolution Parameters of Laser–Produced Tin Plasma under Different Laser Pulse Energies for LPP–EUV Source
by Yiyi Chen, Chongxiao Zhao, Qikun Pan, Ranran Zhang, Yang Gao, Xiaoxi Li, Jin Guo and Fei Chen
Photonics 2023, 10(12), 1339; https://doi.org/10.3390/photonics10121339 - 4 Dec 2023
Cited by 5 | Viewed by 2028
Abstract
The laser–produced plasma extreme ultraviolet (LPP–EUV) source is the sole light source currently available for commercial EUVL (extreme ultraviolet lithography) machines. The plasma parameters, such as the electron temperature and electron density, affect the conversion efficiency (CE) of extreme ultraviolet radiation and other [...] Read more.
The laser–produced plasma extreme ultraviolet (LPP–EUV) source is the sole light source currently available for commercial EUVL (extreme ultraviolet lithography) machines. The plasma parameters, such as the electron temperature and electron density, affect the conversion efficiency (CE) of extreme ultraviolet radiation and other critical parameters of LPP–EUV source directly. In this paper, the optical emission spectroscopy (OES) was employed to investigate the time–resolved plasma parameters generated by an Nd:YAG laser irradiation on a planar tin target. Assuming that the laser–produced tin plasma satisfies the local thermodynamic equilibrium (LTE) condition, the electron temperature and electron density of the plasma were calculated by the Saha–Boltzmann plot and Stark broadening methods. The experimental results revealed that during the early stage of plasma formation (delay time < 50 ns), there was a significant presence of continuum emission. Subsequently, the intensity of the continuum emission gradually decreased, while line spectra emerged and became predominant at a delay time of 300 ns. In addition, the evolution trend of plasma parameters, with the incident laser pulse energy set at 300 mJ, was characterized by a rapid initial decrease followed by a gradual decline as the delay time increased. Furthermore, with an increase in the incident laser pulse energy from 300 mJ to 750 mJ, the electron temperature and electron density of laser–produced tin plasma exhibiting a monotonically showed increasing trend at the same delay time. Full article
Show Figures

Figure 1

13 pages, 3652 KiB  
Article
Extreme Ultraviolet Radiation Sources from Dense Plasmas
by Klaus Bergmann
Atoms 2023, 11(9), 118; https://doi.org/10.3390/atoms11090118 - 31 Aug 2023
Cited by 4 | Viewed by 2287
Abstract
The concept of dense and hot plasmas can be used to build up powerful and brilliant radiation sources in the soft X-ray and extreme ultraviolet spectral range. Such sources are used for nanoscale imaging and structuring applications, such as EUV lithography in the [...] Read more.
The concept of dense and hot plasmas can be used to build up powerful and brilliant radiation sources in the soft X-ray and extreme ultraviolet spectral range. Such sources are used for nanoscale imaging and structuring applications, such as EUV lithography in the semiconductor industry. An understanding of light-generating atomic processes and radiation transport within the plasma is mandatory for optimization. The basic principles and technical concepts using either a pulsed laser or a gas discharge for plasma generation are presented, and critical aspects in the ionization dynamics are outlined within the framework of a simplified atomic physics model. Full article
(This article belongs to the Special Issue Atomic Physics in Dense Plasmas)
Show Figures

Figure 1

13 pages, 3787 KiB  
Article
Transverse Deflection for Extreme Ultraviolet Pellicles
by Sang-Kon Kim
Materials 2023, 16(9), 3471; https://doi.org/10.3390/ma16093471 - 29 Apr 2023
Cited by 3 | Viewed by 2598
Abstract
Defect control of extreme ultraviolet (EUV) masks using pellicles is challenging for mass production in EUV lithography because EUV pellicles require more critical fabrication than argon fluoride (ArF) pellicles. One of the fabrication requirements is less than 500 μm transverse deflections with [...] Read more.
Defect control of extreme ultraviolet (EUV) masks using pellicles is challenging for mass production in EUV lithography because EUV pellicles require more critical fabrication than argon fluoride (ArF) pellicles. One of the fabrication requirements is less than 500 μm transverse deflections with more than 88% transmittance of full-size pellicles (112 mm × 145 mm) at pressure 2 Pa. For the nanometer thickness (thickness/width length (t/L) = 0.0000054) of EUV pellicles, this study reports the limitation of the student’s version and shear locking in a commercial tool-based finite element method (FEM) such as ANSYS and SIEMENS. A Python program-based analytical-numerical method with deep learning is described as an alternative. Deep learning extended the ANSYS limitation and overcame shear locking. For EUV pellicle materials, the ascending order of transverse deflection was Ru<MoSi2=SiC<SiNx<ZrSr2<p-Si<Sn in both ANSYS and a Python program, regardless of thickness and pressure. According to a neural network, such as the Taguchi method, the sensitivity order of EUV pellicle parameters was Poissons ratio<Elastic modulus<Pressure<Thickness<Length. Full article
(This article belongs to the Section Materials Physics)
Show Figures

Graphical abstract

14 pages, 3689 KiB  
Article
Coarse-Grained Modeling of EUV Patterning Process Reflecting Photochemical Reactions and Chain Conformations
by Tae-Yi Kim, In-Hwa Kang, Juhae Park, Myungwoong Kim, Hye-Keun Oh and Su-Mi Hur
Polymers 2023, 15(9), 1988; https://doi.org/10.3390/polym15091988 - 22 Apr 2023
Cited by 3 | Viewed by 3256
Abstract
Enabling extreme ultraviolet lithography (EUVL) as a viable and efficient sub-10 nm patterning tool requires addressing the critical issue of reducing line edge roughness (LER). Stochastic effects from random and local variability in photon distribution and photochemical reactions have been considered the primary [...] Read more.
Enabling extreme ultraviolet lithography (EUVL) as a viable and efficient sub-10 nm patterning tool requires addressing the critical issue of reducing line edge roughness (LER). Stochastic effects from random and local variability in photon distribution and photochemical reactions have been considered the primary cause of LER. However, polymer chain conformation has recently attracted attention as an additional factor influencing LER, necessitating detailed computational studies with explicit chain representation and photon distribution to overcome the existing approach based on continuum models and random variables. We developed a coarse-grained molecular simulation model for an EUV patterning process to investigate the effect of chain conformation variation and stochastic effects via photon shot noise and acid diffusion on the roughness of the pattern. Our molecular simulation demonstrated that final LER is most sensitive to the variation in photon distributions, while material distributions and acid diffusion rate also impact LER; thus, the intrinsic limit of LER is expected even at extremely suppressed stochastic effects. Furthermore, we proposed and tested a novel approach to improve the roughness by controlling the initial polymer chain orientation. Full article
(This article belongs to the Section Polymer Chemistry)
Show Figures

Figure 1

9 pages, 2711 KiB  
Article
Investigating the Degradation of EUV Transmittance of an EUV Pellicle Membrane
by Seong Ju Wi, Yong Ju Jang, Dong Gi Lee, Seon Yong Kim and Jinho Ahn
Membranes 2023, 13(1), 5; https://doi.org/10.3390/membranes13010005 - 21 Dec 2022
Cited by 5 | Viewed by 5189
Abstract
The extreme ultraviolet (EUV) pellicle is a freestanding membrane that protects EUV masks from particle contamination during EUV exposure. Although a high EUV transmittance of the pellicle is required to minimize the loss of throughput, the degradation of EUV transmittance during the extended [...] Read more.
The extreme ultraviolet (EUV) pellicle is a freestanding membrane that protects EUV masks from particle contamination during EUV exposure. Although a high EUV transmittance of the pellicle is required to minimize the loss of throughput, the degradation of EUV transmittance during the extended exposure of the pellicle has been recently reported. This may adversely affect the throughput of the lithography process. However, the cause of this phenomenon has not yet been clarified. Therefore, we investigated the cause of the degradation in the EUV transmittance by observing the compositional change when the Ru/SiNx pellicle composite was heated in an emulated EUV scanner environment. The Ru thin film that was deposited at high pressure had more void networks but was not oxidized, whereas the SiNx thin film was oxidized after heating. This was because the void network in the Ru thin film served as a preferential diffusion path for oxygen and caused oxidation of the SiNx thin film. It was confirmed that the degradation of the EUV transmittance was due to the oxidation of SiNx. The results verified the effect of diffusivity in the thin film due to the void network on oxidation and EUV transmittance. Full article
Show Figures

Figure 1

10 pages, 3738 KiB  
Article
Extreme Ultraviolet Lighting Using Carbon Nanotube-Based Cold Cathode Electron Beam
by Sung Tae Yoo and Kyu Chang Park
Nanomaterials 2022, 12(23), 4134; https://doi.org/10.3390/nano12234134 - 23 Nov 2022
Cited by 10 | Viewed by 2466
Abstract
Laser-based plasma studies that apply photons to extreme ultraviolet (EUV) generation are actively being conducted, and studies by direct electron irradiation on Sn for EUV lighting have rarely been attempted. Here, we demonstrate a novel method of EUV generation by irradiating Sn with [...] Read more.
Laser-based plasma studies that apply photons to extreme ultraviolet (EUV) generation are actively being conducted, and studies by direct electron irradiation on Sn for EUV lighting have rarely been attempted. Here, we demonstrate a novel method of EUV generation by irradiating Sn with electrons emitted from a carbon nanotube (CNT)-based cold cathode electron beam (C-beam). Unlike a single laser source, electrons emitted from about 12,700 CNT emitters irradiated the Sn surface to generate EUV and control its intensity. EUV light generated by direct irradiation of electrons was verified using a photodiode equipped with a 150 nm thick Zr filter and patterning of polymethyl methacrylate (PMMA) photoresist. EUV generated with an input power of 6 W is sufficient to react the PMMA with exposure of 30 s. EUV intensity changes according to the anode voltage, current, and electron incident angle. The area reaching the Sn and penetration depth of electrons are easily adjusted. This method could be the cornerstone for advanced lithography for semiconductor fabrication and high-resolution photonics. Full article
(This article belongs to the Special Issue The Research Related to Nanomaterial Cold Cathode)
Show Figures

Figure 1

Back to TopTop