Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

Article Types

Countries / Regions

Search Results (16)

Search Parameters:
Keywords = FinFET SRAM

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
13 pages, 1482 KiB  
Article
Novel Low-Power Computing-In-Memory (CIM) Design for Binary and Ternary Deep Neural Networks by Using 8T XNOR SRAM
by Achyuth Gundrapally, Nader Alnatsheh and Kyuwon Ken Choi
Electronics 2024, 13(23), 4828; https://doi.org/10.3390/electronics13234828 - 6 Dec 2024
Viewed by 2014
Abstract
The increasing demand for high-performance and low-power hardware in artificial intelligence (AI) applications, such as speech recognition, facial recognition, and object detection, has driven the exploration of advanced memory designs. Convolutional neural networks (CNNs) and deep neural networks (DNNs) require intensive computational resources, [...] Read more.
The increasing demand for high-performance and low-power hardware in artificial intelligence (AI) applications, such as speech recognition, facial recognition, and object detection, has driven the exploration of advanced memory designs. Convolutional neural networks (CNNs) and deep neural networks (DNNs) require intensive computational resources, leading to memory access times and power consumption challenges. To address these challenges, we propose the application of computing-in-memory (CIM) within FinFET-based 8T SRAM structures, specifically utilizing P-latch N-access (PLNA) and single-ended (SE) configurations. Our design significantly reduces power consumption by up to 56% in the PLNA configuration and 60% in the SEconfiguration compared to traditional FinFET SRAM designs. These reductions are achieved while maintaining competitive delay performance, making our approach a promising solution for implementing efficient and low-power AI hardware. Detailed simulations in 7 nm FinFET technology underscore the potential of these CIM-based SRAM structures in overcoming the computational bottlenecks associated with DNNs and CNNs. Full article
(This article belongs to the Special Issue Recent Advances in AI Hardware Design)
Show Figures

Figure 1

18 pages, 3899 KiB  
Article
Leakage Power Attack-Resilient Design: PMOS-Reading 9T SRAM Cell
by Muyu Yang, Prakash Balasubramanian, Kangqi Chen and Erdal Oruklu
Electronics 2024, 13(13), 2551; https://doi.org/10.3390/electronics13132551 - 28 Jun 2024
Cited by 1 | Viewed by 1540
Abstract
Non-invasive side-channel attacks (SCAs) based on leakage power analysis (LPA) have received more attention recently, since leakage current has gradually become more dominant with further scaled technologies. For SRAM cells, LPA exploits the correlation between data in memory cells and their corresponding leakage [...] Read more.
Non-invasive side-channel attacks (SCAs) based on leakage power analysis (LPA) have received more attention recently, since leakage current has gradually become more dominant with further scaled technologies. For SRAM cells, LPA exploits the correlation between data in memory cells and their corresponding leakage power. This paper proposes a novel SRAM design in 7 nm node for countering LPA attacks, based on a single-ended PMOS-reading 9T (nine-transistor) cell design. The leakage current imbalance, delay, stability, and robustness of SRAM cells are examined for the proposed memory cell architecture with layout designs, and results are compared against other SRAM cell designs. Simulation results and failure of LPA attacks in case studies confirm the enhanced resilient behavior for the new SRAM cell design. Full article
(This article belongs to the Special Issue Embedded Systems and Microcontroller Smart Applications)
Show Figures

Figure 1

16 pages, 3611 KiB  
Article
A Novel CNFET SRAM-Based Compute-In-Memory for BNN Considering Chirality and Nanotubes
by Youngbae Kim, Nader Alnatsheh, Nandakishor Yadav, Jaeik Cho, Heeyoung Jo and Kyuwon Ken Choi
Electronics 2024, 13(11), 2192; https://doi.org/10.3390/electronics13112192 - 4 Jun 2024
Cited by 1 | Viewed by 1622
Abstract
As AI models grow in complexity to enhance accuracy, supporting hardware encounters challenges such as heightened power consumption and diminished processing speed due to high throughput demands. Compute-in-memory (CIM) technology emerges as a promising solution. Furthermore, carbon nanotube field-effect transistors (CNFETs) show significant [...] Read more.
As AI models grow in complexity to enhance accuracy, supporting hardware encounters challenges such as heightened power consumption and diminished processing speed due to high throughput demands. Compute-in-memory (CIM) technology emerges as a promising solution. Furthermore, carbon nanotube field-effect transistors (CNFETs) show significant potential in bolstering CIM technology. Despite advancements in silicon semiconductor technology, CNFETs pose as formidable competitors, offering advantages in reliability, performance, and power efficiency. This is particularly pertinent given the ongoing challenges posed by the reduction in silicon feature size. We proposed an ultra-low-power architecture leveraging CNFETs for Binary Neural Networks (BNNs), featuring an advanced state-of-the-art 8T SRAM bit cell and CNFET model to optimize performance in intricate AI computations. Through meticulous optimization, we fine-tune the CNFET model by adjusting tube counts and chiral vectors, as well as optimizing transistor ratios for SRAM transistors and nanotube diameters. SPICE simulation in 32 nm CNFET technology facilitates the determination of optimal transistor ratios and chiral vectors across various nanotube diameters under a 0.9 V supply voltage. Comparative analysis with conventional FinFET-based CIM structures underscores the superior performance of our CNFET SRAM-based CIM design, boasting a 99% reduction in power consumption and a 91.2% decrease in delay compared to state-of-the-art designs. Full article
(This article belongs to the Section Microelectronics)
Show Figures

Figure 1

12 pages, 5039 KiB  
Article
Simulation Study on the Charge Collection Mechanism of FinFET Devices in Single-Event Upset
by Hongwei Zhang, Yang Guo, Shida Wang, Yi Sun, Bo Mei, Min Tang and Jingyi Liu
Micromachines 2024, 15(2), 201; https://doi.org/10.3390/mi15020201 - 29 Jan 2024
Cited by 2 | Viewed by 2152
Abstract
Planar devices and FinFET devices exhibit significant differences in single-event upset (SEU) response and charge collection. However, the charge collection process during SEU in FinFET devices has not been thoroughly investigated. This article addresses this gap by establishing a FinFET SRAM simulation structure [...] Read more.
Planar devices and FinFET devices exhibit significant differences in single-event upset (SEU) response and charge collection. However, the charge collection process during SEU in FinFET devices has not been thoroughly investigated. This article addresses this gap by establishing a FinFET SRAM simulation structure and employing simulation software to delve into the charge collection process of FinFET devices during single-event upset. The results reveal substantial differences in charge collection between NMOS and PMOS, and that direct incidence of PMOS leads to the phenomenon of multiple-node charge collection causing SRAM unit upset followed by recovery. Full article
(This article belongs to the Special Issue High-Reliability Semiconductor Devices and Integrated Circuits)
Show Figures

Figure 1

22 pages, 5205 KiB  
Article
FinFET 6T-SRAM All-Digital Compute-in-Memory for Artificial Intelligence Applications: An Overview and Analysis
by Waqas Gul, Maitham Shams and Dhamin Al-Khalili
Micromachines 2023, 14(8), 1535; https://doi.org/10.3390/mi14081535 - 31 Jul 2023
Cited by 9 | Viewed by 5137
Abstract
Artificial intelligence (AI) has revolutionized present-day life through automation and independent decision-making capabilities. For AI hardware implementations, the 6T-SRAM cell is a suitable candidate due to its performance edge over its counterparts. However, modern AI hardware such as neural networks (NNs) access off-chip [...] Read more.
Artificial intelligence (AI) has revolutionized present-day life through automation and independent decision-making capabilities. For AI hardware implementations, the 6T-SRAM cell is a suitable candidate due to its performance edge over its counterparts. However, modern AI hardware such as neural networks (NNs) access off-chip data quite often, degrading the overall system performance. Compute-in-memory (CIM) reduces off-chip data access transactions. One CIM approach is based on the mixed-signal domain, but it suffers from limited bit precision and signal margin issues. An alternate emerging approach uses the all-digital signal domain that provides better signal margins and bit precision; however, it will be at the expense of hardware overhead. We have analyzed digital signal domain CIM silicon-verified 6T-SRAM CIM solutions, after classifying them as SRAM-based accelerators, i.e., near-memory computing (NMC), and custom SRAM-based CIM, i.e., in-memory-computing (IMC). We have focused on multiply and accumulate (MAC) as the most frequent operation in convolution neural networks (CNNs) and compared state-of-the-art implementations. Neural networks with low weight precision, i.e., <12b, show lower accuracy but higher power efficiency. An input precision of 8b achieves implementation requirements. The maximum performance reported is 7.49 TOPS at 330 MHz, while custom SRAM-based performance has shown a maximum of 5.6 GOPS at 100 MHz. The second part of this article analyzes the FinFET 6T-SRAM as one of the critical components in determining overall performance of an AI computing system. We have investigated the FinFET 6T-SRAM cell performance and limitations as dictated by the FinFET technology-specific parameters, such as sizing, threshold voltage (Vth), supply voltage (VDD), and process and environmental variations. The HD FinFET 6T-SRAM cell shows 32% lower read access time and 1.09 times better leakage power as compared with the HC cell configuration. The minimum achievable supply voltage is 600 mV without utilization of any read- or write-assist scheme for all cell configurations, while temperature variations show noise margin deviation of up to 22% of the nominal values. Full article
(This article belongs to the Special Issue Emerging CMOS Devices, Volume II)
Show Figures

Figure 1

9 pages, 1496 KiB  
Article
Investigation of Single-Event Upset in Graphene Nano-Ribbon FET SRAM Cell
by Naheem Olakunle Adesina
Micromachines 2023, 14(7), 1449; https://doi.org/10.3390/mi14071449 - 19 Jul 2023
Cited by 3 | Viewed by 1573
Abstract
In recent years, graphene has received so much attention because of its superlative properties and its potential to revolutionize electronics, especially in VLSI. This study analyzes the effect of single-event upset (SEU) in an SRAM cell, which employs a metal-oxide semiconductor type graphene [...] Read more.
In recent years, graphene has received so much attention because of its superlative properties and its potential to revolutionize electronics, especially in VLSI. This study analyzes the effect of single-event upset (SEU) in an SRAM cell, which employs a metal-oxide semiconductor type graphene nano-ribbon field effect transistor (MOS-GNRFET) and compares the results with another SRAM cell designed using a PTM 10 nm FinFET node. Our simulations show that there is a change in the data stored in the SRAM after a heavy ion strike. However, it recovers from radiation effects after 0.46 ns for GNRFET and 0.51 ns for FinFET. Since the degradation observed in Q and Qb of GNRFET SRAM are 2.7X and 2.16X as compared to PTM nano-MOSFET, we can conclude that GNRFET is less robust to single effect upset. In addition, the stability of SRAM is improved by increasing the supply voltage VDD. Full article
(This article belongs to the Special Issue 2D Material-Based Semiconductors: Design and Applications)
Show Figures

Figure 1

12 pages, 4639 KiB  
Article
Design Technology Co-Optimization Strategy for Ge Fraction in SiGe Channel of SGOI FinFET
by Shixin Li and Zhenhua Wu
Nanomaterials 2023, 13(11), 1709; https://doi.org/10.3390/nano13111709 - 23 May 2023
Cited by 1 | Viewed by 1758
Abstract
FinFET devices and Silicon-On-Insulator (SOI) devices are two mainstream technical routes after the planar MOSFET reached the limit for scaling. The SOI FinFET devices combine the benefits of FinFET and SOI devices, which can be further boosted by SiGe channels. In this work, [...] Read more.
FinFET devices and Silicon-On-Insulator (SOI) devices are two mainstream technical routes after the planar MOSFET reached the limit for scaling. The SOI FinFET devices combine the benefits of FinFET and SOI devices, which can be further boosted by SiGe channels. In this work, we develop an optimizing strategy of the Ge fraction in SiGe Channels of SGOI FinFET devices. The simulation results of ring oscillator (RO) circuits and SRAM cells reveal that altering the Ge fraction can improve the performance and power of different circuits for different applications. Full article
(This article belongs to the Special Issue Nanomaterials for Electron Devices)
Show Figures

Figure 1

10 pages, 2108 KiB  
Article
Performance Degradation in Static Random Access Memory of 10 nm Node FinFET Owing to Displacement Defects
by Minji Bang, Jonghyeon Ha, Gyeongyeop Lee, Minki Suh and Jungsik Kim
Micromachines 2023, 14(5), 1090; https://doi.org/10.3390/mi14051090 - 22 May 2023
Cited by 3 | Viewed by 1949
Abstract
We comprehensively investigate displacement-defect-induced current and static noise margin variations in six-transistor (6T) static random access memory (SRAM) based on a 10 nm node fin field-effect transistor (FinFET) using technology computer-aided design (TCAD). Various defect cluster conditions and fin structures are considered as [...] Read more.
We comprehensively investigate displacement-defect-induced current and static noise margin variations in six-transistor (6T) static random access memory (SRAM) based on a 10 nm node fin field-effect transistor (FinFET) using technology computer-aided design (TCAD). Various defect cluster conditions and fin structures are considered as variables to estimate the worst-case scenario for displacement defects. The rectangular defect clusters capture more widely distributed charges at the fin top, reducing the on- and off-current. The read static noise margin (RSNM) is the most degraded in the pull-down transistor during the read operation. The increased fin width decreases the RSNM due to the gate field. The current per cross-sectional area increases when the fin height decreases, but the energy barrier lowering by the gate field is similar. Therefore, the reduced fin width and increased fin height structure suit the 10 nm node FinFET 6T SRAMs with high radiation hardness. Full article
(This article belongs to the Special Issue Spintronic Memory and Logic Devices)
Show Figures

Figure 1

17 pages, 5825 KiB  
Article
Hybrid Silicon Substrate FinFET-Metal Insulator Metal (MIM) Memristor Based Sense Amplifier Design for the Non-Volatile SRAM Cell
by G. Lakshmi Priya, Namita Rawat, Abhishek Sanagavarapu, M. Venkatesh and A. Andrew Roobert
Micromachines 2023, 14(2), 232; https://doi.org/10.3390/mi14020232 - 17 Jan 2023
Cited by 15 | Viewed by 3113
Abstract
Maintaining power consumption has become a critical hurdle in the manufacturing process as CMOS technologies continue to be downscaled. The longevity of portable gadgets is reduced as power usage increases. As a result, less-cost, high-density, less-power, and better-performance memory devices are in great [...] Read more.
Maintaining power consumption has become a critical hurdle in the manufacturing process as CMOS technologies continue to be downscaled. The longevity of portable gadgets is reduced as power usage increases. As a result, less-cost, high-density, less-power, and better-performance memory devices are in great demand in the electronics industry for a wide range of applications, including Internet of Things (IoT) and electronic devices like laptops and smartphones. All of the specifications for designing a non-volatile memory will benefit from the use of memristors. In addition to being non-volatile, memristive devices are also characterized by the high switching frequency, low wattage requirement, and compact size. Traditional transistors can be replaced by silicon substrate-based FinFETs, which are substantially more efficient in terms of area and power, to improve the design. As a result, the design of non-volatile SRAM cell in conjunction with silicon substrate-based FinFET and Metal Insulator Metal (MIM) based Memristor is proposed and compared to traditional SRAMs. The power consumption of the proposed hybrid design has outperformed the standard Silicon substrate FinFET design by 91.8% better. It has also been reported that the delay for the suggested design is actually quite a bit shorter, coming in at approximately 1.989 ps. The proposed architecture has been made significantly more practical for use as a low-power and high-speed memory system because of the incorporation of high-K insulation at the interface of metal regions. In addition, Monte Carlo (MC) simulations have been run for the reported 6T-SRAM designs in order to have a better understanding of the device stability. Full article
(This article belongs to the Special Issue Design Trends in RF/Microwave Filtering and Memristive Devices)
Show Figures

Figure 1

10 pages, 4917 KiB  
Article
Mechanism and Equivalence of Single Event Effects Induced by 14 MeV Neutrons in High-Speed QDR SRAM
by Shaohua Yang, Zhangang Zhang, Zhifeng Lei, Teng Tong, Xiaohui Li, Kai Xi and Fugen Wu
Appl. Sci. 2022, 12(19), 9685; https://doi.org/10.3390/app12199685 - 27 Sep 2022
Cited by 2 | Viewed by 2017
Abstract
Single-bit upset (SBU) and multiple-cell upset (MCU) features of high-speed QDR-SRAM are revealed under the 14 MeV neutron irradiation. By comparing with the high-altitude real atmosphere test results directly, the equivalence of 14 MeV neutrons for atmospheric neutron-induced single event effect (SEE) evaluation [...] Read more.
Single-bit upset (SBU) and multiple-cell upset (MCU) features of high-speed QDR-SRAM are revealed under the 14 MeV neutron irradiation. By comparing with the high-altitude real atmosphere test results directly, the equivalence of 14 MeV neutrons for atmospheric neutron-induced single event effect (SEE) evaluation is investigated. It is found that, compared with the 65 nm planar device, the SBU cross-section of 14 nm FinFET SRAM decreases to 1/58 and the proportion of MCU shows little difference, which results from the narrow channel between fin and substrate caused by shallow channel isolation in 14 nm FinFET process, and the charge sharing effect between fins is weakened. The SBU and MCU cross-sections under the 14 MeV neutron irradiation are underestimated by 22.8% and 85.7%, respectively. Besides, the probability and maximum size of MCU are both smaller than those in the real atmosphere. The MCU shape tends to be vertical, resulting from the smaller vertical spacing of sensitive volumes (about 100 nm). Further Monte-Carlo simulation shows that the total yield of secondary ions produced by atmospheric neutrons is higher than that produced by 14 MeV neutrons. Major Components of the “useful” products are p, Si, α, etc., which are the main cause of SBU events. Besides, compared with 14 MeV neutrons, atmospheric neutrons generate more kinds of secondary ions in the SV within the scope from p to W, and the diverse high-Z elements, such as W, Ta, Hf, etc., are the main cause of MCU events. Moreover, the maximum LET of secondary ions can reach 31.5 MeV·cm2/mg. The equivalence of using 14 MeV neutrons for atmospheric neutron-induced SEE evaluation is closely related to the critical charge of the device under test. Full article
(This article belongs to the Section Electrical, Electronics and Communications Engineering)
Show Figures

Figure 1

22 pages, 4808 KiB  
Review
SRAM Cell Design Challenges in Modern Deep Sub-Micron Technologies: An Overview
by Waqas Gul, Maitham Shams and Dhamin Al-Khalili
Micromachines 2022, 13(8), 1332; https://doi.org/10.3390/mi13081332 - 17 Aug 2022
Cited by 28 | Viewed by 8097
Abstract
Microprocessors use static random-access memory (SRAM) cells in the cache memory design. As a part of the central computing component, their performance is critical. Modern system-on-chips (SoC) escalate performance pressure because only 10–15% of the transistors accounts for logic, while the remaining transistors [...] Read more.
Microprocessors use static random-access memory (SRAM) cells in the cache memory design. As a part of the central computing component, their performance is critical. Modern system-on-chips (SoC) escalate performance pressure because only 10–15% of the transistors accounts for logic, while the remaining transistors are for the cache memory. Moreover, modern implantable, portable and wearable electronic devices rely on artificial intelligence (AI), demanding an efficient and reliable SRAM design for compute-in-memory (CIM). For performance benchmark achievements, maintaining reliability is a major concern in recent technological nodes. Specifically, battery-operated applications utilize low-supply voltages, putting the SRAM cell’s stability at risk. In modern devices, the off-state current of a transistor is becoming comparable to the on-state current. On the other hand, process variations change the transistor design parameters and eventually compromise design integrity. Furthermore, sensitive information processing, environmental conditions and charge emission from IC packaging materials undermine the SRAM cell’s reliability. FinFET-SRAMs, with aggressive scaling, have taken operation to the limit, where a minute anomaly can cause failure. This article comprehensively reviews prominent challenges to the SRAM cell design after classifying them into five distinct categories. Each category explains underlying mathematical relations followed by viable solutions. Full article
(This article belongs to the Special Issue Emerging CMOS Devices)
Show Figures

Figure 1

13 pages, 8731 KiB  
Article
Ultra-Low-Power FinFETs-Based TPCA-PUF Circuit for Secure IoT Devices
by Cancio Monteiro and Yasuhiro Takahashi
Sensors 2021, 21(24), 8302; https://doi.org/10.3390/s21248302 - 11 Dec 2021
Cited by 9 | Viewed by 3443
Abstract
Low-power and secure crypto-devices are in crucial demand for the current emerging technology of the Internet of Things (IoT). In nanometer CMOS technology, the static and dynamic power consumptions are in a very critical challenge. Therefore, the FinFETs is an alternative technology due [...] Read more.
Low-power and secure crypto-devices are in crucial demand for the current emerging technology of the Internet of Things (IoT). In nanometer CMOS technology, the static and dynamic power consumptions are in a very critical challenge. Therefore, the FinFETs is an alternative technology due to its superior attributes of non-leakage power, intra-die variability, low-voltage operation, and lower retention voltage of SRAMs. In this study, our previous work on CMOS two-phase clocking adiabatic physical unclonable function (TPCA-PUF) is evaluated in a FinFET device with a 4-bits PUF circuit complexity. The TPCA-PUF-based shorted-gate (SG) and independent-gate (IG) modes of FinFETs are investigated under various ambient temperatures, process variations, and ±20% of supply voltage variations. To validate the proposed TPCA-PUF circuit, the QUALPFU-based Fin-FETs are compared in terms of cyclical energy dissipation, the security metrics of the uniqueness, the reliability, and the bit-error-rate (BER). The proposed TPCA-PUF is simulated using 45 nm process technology with a supply voltage of 1 V. The uniqueness, reliability, and the BER of the proposed TPCA-PUF are 50.13%, 99.57%, and 0.43%, respectively. In addition, it requires a start-up power of 18.32 nW and consumes energy of 2.3 fJ/bit/cycle at the reference temperature of 27 °C. Full article
Show Figures

Figure 1

22 pages, 3037 KiB  
Article
Ultra-Low Power and High-Throughput SRAM Design to Enhance AI Computing Ability in Autonomous Vehicles
by Youngbae Kim, Shreyash Patel, Heekyung Kim, Nandakishor Yadav and Kyuwon Ken Choi
Electronics 2021, 10(3), 256; https://doi.org/10.3390/electronics10030256 - 22 Jan 2021
Cited by 18 | Viewed by 4679
Abstract
Power consumption and data processing speed of integrated circuits (ICs) is an increasing concern in many emerging Artificial Intelligence (AI) applications, such as autonomous vehicles and Internet of Things (IoT). Existing state-of-the-art SRAM architectures for AI computing are highly accurate and can provide [...] Read more.
Power consumption and data processing speed of integrated circuits (ICs) is an increasing concern in many emerging Artificial Intelligence (AI) applications, such as autonomous vehicles and Internet of Things (IoT). Existing state-of-the-art SRAM architectures for AI computing are highly accurate and can provide high throughput. However, these SRAMs have problems that they consume high power and occupy a large area to accommodate complex AI models. A carbon nanotube field-effect transistors (CNFET) device has been reported as a potential candidates for AI devices requiring ultra-low power and high-throughput due to their satisfactory carrier mobility and symmetrical, good subthreshold electrical performance. Based on the CNFET and FinFET device’s electrical performance, we propose novel ultra-low power and high-throughput 8T SRAMs to circumvent the power and the throughput issues in Artificial Intelligent (AI) computation for autonomous vehicles. We propose two types of novel 8T SRAMs, P-Latch N-Access (PLNA) 8T SRAM structure and single-ended (SE) 8T SRAM structure, and compare the performance with existing state-of-the-art 8T SRAM architectures in terms of power consumption and speed. In the SRAM circuits of the FinFET and CNFET, higher tube and fin numbers lead to higher operating speed. However, the large number of tubes and fins can lead to larger area and more power consumption. Therefore, we optimize the area by reducing the number of tubes and fins without compromising the memory circuit speed and power. Most importantly, the decoupled reading and writing of our new SRAMs cell offers better low-power operation due to the stacking of device in the reading part, as well as achieving better readability and writability, while offering read Static Noise Margin (SNM) free because of isolated reading path, writing path, and greater pull up ratio. In addition, the proposed 8T SRAMs show even better performance in delay and power when we combine them with the collaborated voltage sense amplifier and independent read component. The proposed PLNA 8T SRAM can save 96%, while the proposed SE 8T SRAM saves around 99% in writing power consumption compared with the existing state-of-the-art 8T SRAM in FinFET model, as well as 99% for writing operation in CNFET model. Full article
(This article belongs to the Special Issue Autonomous Vehicles Technology)
Show Figures

Figure 1

12 pages, 3994 KiB  
Article
SEE Sensitivity Evaluation for Commercial 16 nm SRAM-FPGA
by Chang Cai, Shuai Gao, Peixiong Zhao, Jian Yu, Kai Zhao, Liewei Xu, Dongqing Li, Ze He, Guangwen Yang, Tianqi Liu and Jie Liu
Electronics 2019, 8(12), 1531; https://doi.org/10.3390/electronics8121531 - 12 Dec 2019
Cited by 13 | Viewed by 4412
Abstract
Radiation effects can induce severe and diverse soft errors in digital circuits and systems. A Xilinx commercial 16 nm FinFET static random-access memory (SRAM)-based field-programmable gate array (FPGA) was selected to evaluate the radiation sensitivity and promote the space application of FinFET ultra [...] Read more.
Radiation effects can induce severe and diverse soft errors in digital circuits and systems. A Xilinx commercial 16 nm FinFET static random-access memory (SRAM)-based field-programmable gate array (FPGA) was selected to evaluate the radiation sensitivity and promote the space application of FinFET ultra large-scale integrated circuits (ULSI). Picosecond pulsed laser and high energy heavy ions were employed for irradiation. Before the tests, SRAM-based configure RAMs (CRAMs) were initialized and configured. The 100% embedded block RAMs (BRAMs) were utilized based on the Vivado implementation of the compiled hardware description language. No hard error was observed in both the laser and heavy-ion test. The thresholds for laser-induced single event upset (SEU) were ~3.5 nJ, and the SEU cross-sections were correlated positively to the laser’s energy. Multi-bit upsets were measured in heavy-ion and high-energy laser irradiation. Moreover, latch-up and functional interrupt phenomena were common, especially in the heavy-ion tests. The single event effect results for the 16 nm FinFET process were significant, and some radiation tolerance strategies were required in a radiation environment. Full article
(This article belongs to the Special Issue Extreme-Environment Electronics: Challenges and Solutions)
Show Figures

Figure 1

26 pages, 1284 KiB  
Review
Low Power Design for Future Wearable and Implantable Devices
by Katrine Lundager, Behzad Zeinali, Mohammad Tohidi, Jens K. Madsen and Farshad Moradi
J. Low Power Electron. Appl. 2016, 6(4), 20; https://doi.org/10.3390/jlpea6040020 - 20 Oct 2016
Cited by 34 | Viewed by 15645
Abstract
With the fast progress in miniaturization of sensors and advances in micromachinery systems, a gate has been opened to the researchers to develop extremely small wearable/implantable microsystems for different applications. However, these devices are reaching not to a physical limit but a power [...] Read more.
With the fast progress in miniaturization of sensors and advances in micromachinery systems, a gate has been opened to the researchers to develop extremely small wearable/implantable microsystems for different applications. However, these devices are reaching not to a physical limit but a power limit, which is a critical limit for further miniaturization to develop smaller and smarter wearable/implantable devices (WIDs), especially for multi-task continuous computing purposes. Developing smaller and smarter devices with more functionality requires larger batteries, which are currently the main power provider for such devices. However, batteries have a fixed energy density, limited lifetime and chemical side effect plus the fact that the total size of the WID is dominated by the battery size. These issues make the design very challenging or even impossible. A promising solution is to design batteryless WIDs scavenging energy from human or environment including but not limited to temperature variations through thermoelectric generator (TEG) devices, body movement through Piezoelectric devices, solar energy through miniature solar cells, radio-frequency (RF) harvesting through antenna etc. However, the energy provided by each of these harvesting mechanisms is very limited and thus cannot be used for complex tasks. Therefore, a more comprehensive solution is the use of different harvesting mechanisms on a single platform providing enough energy for more complex tasks without the need of batteries. In addition to this, complex tasks can be done by designing Integrated Circuits (ICs), as the main core and the most power consuming component of any WID, in an extremely low power mode by lowering the supply voltage utilizing low-voltage design techniques. Having the ICs operational at very low voltages, will enable designing battery-less WIDs for complex tasks, which will be discussed in details throughout this paper. In this paper, a path towards battery-less computing is drawn by looking at device circuit co-design for future system-on-chips (SoCs). Full article
(This article belongs to the Special Issue Recent Advances in Emerging Low Power Circuits and Systems)
Show Figures

Figure 1

Back to TopTop