Next Article in Journal
Manufacturing and Assessment of Electrospun PVP/TEOS Microfibres for Adsorptive Heat Transformers
Next Article in Special Issue
Two-Body and Three-Body Wear Behavior of a Dental Fluorapatite Glass-Ceramic
Previous Article in Journal
Deposition of TiO2 Thin Films on Wood Substrate by an Air Atmospheric Pressure Plasma Jet
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

RF Magnetron Sputtering Deposition of TiO2 Thin Films in a Small Continuous Oxygen Flow Rate

1
National Institute for Research and Development in Microtechnologies, 126A Erou Iancu Nicolae Street, Voluntari City, Ilfov County, 077190 Bucharest, Romania
2
Faculty of Physics, University of Bucharest, 405 Atomistilor Street, Magurele city, Ilfov County, 077125 Bucharest, Romania
3
National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor Street, Magurele City, Ilfov County, 077125 Bucharest, Romania
*
Author to whom correspondence should be addressed.
Coatings 2019, 9(7), 442; https://doi.org/10.3390/coatings9070442
Submission received: 21 May 2019 / Revised: 13 June 2019 / Accepted: 12 July 2019 / Published: 16 July 2019

Abstract

:
Rutile titanium oxide (TiO2) thin films require more energy to crystallize than the anatase phase of TiO2. It is a prime candidate for micro-optoelectronics and is usually obtained either by high substrate temperature, applying a substrate bias, pulsed gas flow to modify the pressure, or ex situ annealing. In the present work, we managed to obtain high enough energy at the substrate in order for the particles to form rutile TiO2 at room temperature without any intentional substrate bias in a continuous gas flow. The rutile TiO2 thin films were deposited by a reactive radiofrequency magnetron sputtering system from a titanium target, in an argon/oxygen gas mixture. Investigations regarding the film’s structure and morphology were performed by X-ray diffraction (XRD), X-ray reflectivity (XRR), scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDAX), while the optical properties were investigated by means of ellipsometry.

1. Introduction

Titanium dioxide (TiO2) thin films play a significant role in different areas of research and may lead to promising applications, due to its very good optical and electrical properties, high chemical stability and non-toxic nature. Over the years, TiO2 has been studied for many potential applications, including as a coating for self-cleaning surfaces, the white pigment in paints and food coloring, solar cells [1], biomaterials applications [2,3], as a material for corrosion resistance [4,5,6,7,8,9,10], and gas sensing [11,12]. TiO2 can be deposited through various methods [13] such as chemical vapor deposition (CVD), pulsed laser deposition (PLD), electrophoretic deposition (EPD), magnetron sputtering (MS), and sol-gel dip-coating. Magnetron sputtering provides many advantages, like very good adhesion, fast deposition rates and good control of the film structure.
However, in nature, TiO2 can be found in three forms: brookite, which has an orthorombic structure with the spatial group Pbca; and two tetragonal structures—rutile (P42/mnm) and anatase (I41/amd). In the thin film industry, the rutile and anatase phases are the most commonly used, each of them having different advantages over the other. TiO2 has a refractive index of n ≈ 1.7–2.7 [1,14], rutile having a higher n (~2.7) than anatase [15]. Rutile also presents a higher dielectric constant, sometimes reaching 63 [16], compared to anatase, which has a dielectric constant of 6–19 [17]. However, anatase has a wider optical band gap than rutile (3.2 eV vs. 3.0 eV, respectively) [14]. In this context, rutile is a promising candidate for filters, dielectric mirrors of lasers and optical anti-reflective thin layers [18], while anatase is more desired in photocatalytic applications [13,19,20,21].
In reactive magnetron sputtering, titanium (Ti) is sputtered from a metallic target by energetic ions of a noble gas. By supplying a reactive gas to the chamber, TiO2 can be formed and deposited onto a chosen substrate. MS deposition of TiO2 is highly dependent on the energy at the substrate and the deposition of crystalline TiO2, especially in the rutile phase, usually requires either a substrate bias voltage, a very high substrate temperature or a combination of both. To avoid using a high substrate temperature, high power impulse magnetron sputtering (HiPIMS) can also be used as an alternative [15,22]. The goal is to increase the energy of the incident ions, as rutile requires higher energy to crystalize than anatase [23,24,25]. This can be seen in the work of Nezar et al. where, without any substrate bias, the deposited films consisted of anatase TiO2, and only after the application of a −75 V substrate bias could the peak for the rutile phase be noticed in XRD analysis [26].
In this work, the structural, morphological and optical properties of TiO2 thin films deposited at different oxygen flow rates have been investigated. We show that it is possible to obtain crystalline, homogeneous TiO2 thin films with smooth surfaces (roughness of 0.2% of the total thickness) on silicon substrates by radiofrequency (RF) MS, without applying any substrate bias voltage at room temperature (RT).

2. Materials and Methods

2.1. Substrate Preparation

The TiO2 thin films were reactively sputtered on P/Boron-doped silicon substrates with (100) crystallographic orientation, 1–10 Ω/cm resistivity and a 525 ± 25 µm thickness. Prior to the depositions, the 100 mm diameter p-Si wafers were diced into 1.7/1.7 cm pieces with a diamond disk so the depositions can be made under different parameters. The pieces were washed in an ultrasound bath with Extran® (Merk GaA, Darmstad, Germany) detergent for 10 min and after that in a piranha solution (H2SO4:H2O = 3:1).

2.2. Deposition Parameters

The depositions were performed with a RF magnetron sputtering PlasmalabSystem400 (Oxford Instruments, Abingdon, UK) using the parameters described in Table 1. The sputtering was made from a titanium target with a 150 mm diameter and 6 mm thickness, attached to a balanced magnetron. The substrate holder was cooled with water to keep the substrate temperature at room value and we have not applied an electrical potential over the substrate in order to induce a desired bias, the substrate holder being grounded. The temperature was measured in real time by a built-in thermocouple. Argon (Ar) and molecular oxygen (O2) were used as sputtering and reactive gases respectively. The flow rate of the respective gases was monitored individually by 1179A mass flow controllers using a closed loop system. A base pressure of 1.33 × 10−5 Pa is reached by an Alcatel ATH500M (Pfeiffer Vacuum, Aßlar, Germany) turbo pump backed by an Alcatel ACP40G dry pump and monitored by an active Penning gauge. The process pressure is controlled by a sealable modulating gate valve placed between the chamber and the turbo-molecular pump and monitored by a 100 mTorr CM gauge. The substrate oscillates under the target for 26.6 min, by a 30° angle and a period of 7.98 s per oscillation, for the purpose of uniformity. With these parameters, the obtained TiO2 thin films usually grow ~30 nm thick with a deposition rate of ~1.2 nm/min.

2.3. Characterization Techniques

To determine the crystallization phases, we used a SmartLab diffraction system (Rigaku Corporation, Tokyo, Japan) with CuKα1 wavelength (1.5406 Å) in Grazing Incidence (GI-XRD) mode using an incidence angle of 0.5°. The phase identification was made by referring to the International Center for Diffraction Data (ICDD) database.
The structural parameters were determined using Rietveld analysis, which allowed us to analyze simultaneously both lattice strain and mean crystallite size. The small values of the fitting parameters ( R and χ2) assure us of the accuracy of the obtained results. Moreover, we used X-ray reflectivity (XRR) to calculate thickness, density and roughness. The XRR results obtained for the investigated films were simulated with the parallel tempering algorithm of the GlobalFit (Rigaku Corporation, Tokyo, Japan) integrated thin film analysis software (Version 2.0.5.2).
SEM and EDAX were used to characterize the surface morphology, film thickness and composition of the TiO2 samples. The surface morphology and thickness of the TiO2 samples were acquired with a Nova NanoSEM 630 Scanning Electron Microscope (FEI Company, Hillsboro, OR, USA) using UHR detector (Through-Lens-Detector-TLD) at an acceleration voltage of 10 kV.
The distribution of the chemical constituents of interest within the TiO2 samples was performed with the element energy dispersive spectroscopy (EDS) system (Smart Insight AMETEK). The EDAX map was acquired at an acceleration voltage of 6 kV, with a working distance of 5 mm and 2000× magnification.
The spectroscopic ellipsometry measurements were made using a V-Vase spectroellipsometer (J.A. Woollam Co., Lincoln, NE, USA) equipped with a HS-190 monochromator with xenon discharge lamp. The experimental values of Ψ (amplitude ratio) and Δ (phase difference) were taken in the spectral range of 300–1700 nm (0.72–4.13 eV) with a step of 2 nm and at 60°, 65° and 70° angles of incidence. To extract the thickness, the roughness and the optical constants of our samples we built an optical model consisting of four layers: Silicon substrate, native silicon oxide (~3 nm), a thin layer of TiO2, and a top rough layer. The dielectric function for silicon and native silicon oxide was taken from the literature [27]. The top rough layer was considered to be a mixture of TiO2 and voids (n~1) in Bruggeman approximation [28]. The difference between our chosen model and the experimental data is given by MSE (mean square error) values:
M S E = 1 2 N M i = 1 n [ ( Ψ i m o d Ψ i e x p σ Ψ , i e x p ) 2 + ( Δ i m o d Δ i e x p σ Δ , i e x p ) 2 ] ,
where N is the number of (Ψ, Δ) pairs, M is the number of variable parameters in the model, and σ is the standard deviation on the experimental data points.
The fitting procedure consists of three steps. In the first step, the thickness of the TiO2 layer and that of the top rough layer was calculated using a simple Cauchy dispersion in the wavelength range of 500–1700 nm, where the thin films are transparent. In the second step, the Cauchy dispersion was replaced with a single Gauss oscillator which is fully Kramer–Kroning consistent. This step is necessary to calculate the optical constants in high absorption range, due to the limitations of the Cauchy dispersion [28], and to decrease the MSE value, the smallest value representing the best fit. Figure 1 presents an example of a fit result for a TiO2 thin film deposited on a Si substrate at Ar:O2 = 30:1.5 sccm flow rate. The presented result is obtained from fitting with a single Gauss oscillator. As can be seen, the generated data of Ψ (Figure 1a) and Δ (Figure 1b) and the experimental data match, confirming that our optical model is accurate.
In the third step, in order to minimize the values of MSE, the parameters of the oscillator were slightly adjusted and are presented in Table 2. After this step, we could extract the final values of film thickness, surface roughness, refractive index and extinction coefficient.
The parameters presented in Table 2 are the Gauss parameters. The total dielectric function is given by
ε = ε 1 + i ε 2 = e 1 o f f s e t + ε n G a u s s ,
where e1offset is a purely real constant, equivalent to εinf, and
ε 1 = ε i n f + 2 π P E g ξ ε 2 ( ξ ) ξ 2 E 2 d ξ
ε 2 = A n e ( E E n σ ) 2 A n e ( E + E n σ ) 2
σ = B r n 2 l n ( 2 )
where An (Amp in Table 2) is the amplitude of oscillation (dimensionless), En is the center energy and Br is the broadening of the absorption peak; ε1 and ε2 are the real and the imaginary part of the dielectric function and εinf is the value of the dielectric constant at infinity [28].

3. Results

When no oxygen was supplied to the reaction chamber, the (100), (002), (101) and (102) crystal planes of titanium (Ti-PDF No. 900-8517) can be observed at 2θ diffraction angles of 35.09°, 38.33°, 40.11° and 53.04°, respectively. In Figure 2, it can be noticed that by introducing a small amount of oxygen, insufficient to reactively form TiO2 with the titanium, the peak positions are shifted to smaller values (34.78°, 37.86°, 39.94° and 52.47°). This fact is related to the increase of the titanium lattice constant, which also implies an additional lattice strain of ~0.4%. Moreover, we can see a peak broadening after the oxygen incorporation, indicating a decrease of the mean crystallite size from 11.4 to 4.93 nm, according to Rietveld analysis.
By increasing the oxygen flow rate, we successfully deposited TiO2 thin films in the rutile phase with multiple crystal orientations: (110), (101), (200), (210), (211), (220), (002) and (301), according to PDF No. 900-7432. The main diffraction feature located at 27.16°, corresponds to the (110) atomic plane, while the secondary diffraction features from 53.57° and 55.49° correspond to the (211) and (220) atomic planes, respectively (Figure 3).
To gain a deeper understanding of the structural parameters, we refined each pattern using Rietveld analysis (red curve). Accordingly, the TiO2 phase was identified and the mean crystallite size and lattice strain were determined. Clearly, the predominant peak is that of the rutile phase (110), found at 27.16°, for all TiO2 samples. Furthermore, it can be observed that the diffraction features located at 25.28° and 49.25° were not resolved with the Rietveld analysis for the rutile phase. These features are attributed to the (101) and (002) crystal orientations of the anatase phase, respectively, according to PDF No. 001-0562. In this framework, the final result consists of a mixture of rutile and anatase, where the percentage of the latter is under 5%. The structural parameters for Ti and TiO2, extracted from the Rietveld analysis, are listed in Table 3.
Contrary to the Ti phase, the TiO2 one exhibits the same lattice constant among different Ar:O2 flow rates. It can be remarked that on one hand, the lattice strain increases significantly (reaching four-fold higher values) and, on the other hand, the mean crystallite size suffers a strong decrease for the different Ar:O2 flow rates. XRR measurements were performed in order to study thickness, density and surface roughness of the deposited thin films. The parameters from the insets in Figure 4 were obtained after the fitting of the experimental X-ray profiles (black curves), accounting for a multilayer structure TiO2/SiO2/Si.
It can be observed that the density is close to the theoretical value for TiO2 (4.23 g/cm3) and the roughness is very small (~0.2% of the total thickness) for all investigated samples. The obtained thicknesses are also supported by the cross-sectional SEM micrographs (Figure 5) and the spectroscopic ellipsometry results. The technological impact of this, would be the opportunity of obtaining dense thin films with a very smooth surface and with a small crystallite size.
From the SEM analysis we can see that our films are continuous, presenting no nano- or micro- fissures. The thicknesses obtained from the cross-section represented in Figure 5 are of ~35, 28 and 33 nm for the TiO2 film deposited at Ar:O2 = 30:1, 30:1.5 and 30:2 sccm flow rate, respectively. Seeing that our samples are extremely thin, we acquired the SEM micrographs at 300 kx magnification. It can prove to be difficult to obtain a very high resolution at this magnification, as we are approaching our measuring apparatus’ limits. Nevertheless, the micrographs in Figure 5 appear to show a highly dense microstructure.
Besides the features caused by the splitting of the films in order to prepare them for SEM cross-section analysis, our samples appear to be featureless, or at least fibrous. This information [29,30], together with the film’ s shiny gold color [31], the low process pressure and T/Tm ratio we used, where T is the substrate temperature and Tm = 1870 °C is the melting temperature for TiO2 [32], would classify all the present deposited TiO2 thin films in zone T of Thornton’s structure zone model (SZM) for sputter deposition films [33].
The distribution of the chemical constituents of interest within the TiO2 samples was revealed by EDAX mapping at 2000× magnification. From the EDAX mapping, we notice that the titanium and oxygen atoms are uniformly spread across the surface with a ratio of O:Ti ≈ 2.1:1 (Figure 6).
By fitting the experimental data from our spectroscopic ellipsometry measurements with a single Gauss oscillator, we obtained thickness values in the range of 28–36 nm with a roughness of ~1 nm for all the TiO2 samples, which is in accordance with our XRR and SEM findings.
Since the O2 flow varied in very small increments, the optical constants are very similar for all our TiO2 samples, which can be observed in Figure 7. The refractive index varies between the different TiO2 thin films just from the third decimal on, having a value of n = ~2.65 at a wavelength of λ = 550 nm. Values of n = 2.57 and n = 2.74, at λ = 550 nm, were reported by Tanemura et al. [34] for RF-MS-deposited anatase and rutile TiO2, respectively. This result further confirms our initial analysis from the X-ray diffractograms—that our films consist of a mixture of the anatase and the rutile phase. The extinction coefficient seems to be directly proportional with the O2 flow rate, the higher values being obtained for Ar:O2 = 30:2 sccm flow rate. There was no optical absorption observed in the wavelength range of 400–1700 nm for either of our deposited TiO2 thin films.
The optical band gap energy (Eg) was extracted from the Tauc plots of (αE)1/2 with respect to the photon energy, for indirect transitions. We obtained a band gap of Eg = 3.31 eV in the case of 2 sccm O2 flow rate (Figure 8), and as the O2 flow rate decreases to 1.5 sccm and 1 sccm, the band gap increases to 3.4 and 3.9 eV, respectively. The very small crystallite size derived from the Rietveld analysis tells us that we have a high defect density, which would explain the high Eg values resulted from the Tauc plots, and by plotting the dependency of the optical band gap energy on the O2 flow (Figure 8-inset) we can conclude that the high Eg values are due to oxygen vacancies in the TiO2 lattice.

4. Discussion

In previous works, TiO2 depositions, either by RF [2] or DC [17,35] magnetron sputtering, were amorphous in continuous gas flow, if no bias voltage or heating was applied to the substrate. Crystalline TiO2 (anatase, rutile or a mixture of both) was obtained either by controlling the O2 flow and sputtering pressure [36,37], by applying a substrate bias voltage [3,17,38], or by annealing [39,40,41]. Nezar et al. [26] managed to obtain crystalline TiO2 by reactive magnetron sputtering without applying any substrate bias at RT, with predominantly anatase phase (Table 4). The reason for obtaining anatase TiO2, as is the case for annealing amorphous TiO2 [39,40,41], is that it requires less energy to form than the rutile phase. In the absence of substrate bias or heating, it is needed to adapt the other process parameters in order for the deposited particles to have enough energy to crystallize, otherwise the deposited thin film will be amorphous [42].
Each of the deposition parameters has an influence on the energy of the deposition particles and we have to take into consideration the geometry of the deposition chamber as well. Oxygen partial pressure can influence the deposition mode (metal/oxide)/poisoning degree of the target [36]. Deposition pressure can influence the degree of ionization and the mean free path of sputtering and deposition atoms/ions. Substrate temperature has a role in activating the surface and allowing adatoms to diffuse and occupy the vacancies in the film. Substrate bias also influences the diffusion of adatoms not only by the surface diffusion energy, but also by increasing the kinetic energies of incoming ions [3,38]. The power of the discharge plays a big part as well: By increasing it to a certain point we can maximize the sputtering yield. Keep in mind that too much power can lead to ion implantation, which is to be avoided [43]. Musil et al. [25] explained very well from where the total energy (ET) at the substrate comes from (Equation (6)) and how each individual term is influenced by the process parameters:
E T = E S ( T S , t d ) + E p ( U S , i S , p T , a D , t d ) + E mt ( W t , d s t , t d ) + E ch ( t d ) + E rad ( t d ) ,
where ES represents the heat from the substrate and is dependent on the substrate temperature (TS) and the deposition time (td); Ep represents the kinetic energy of the bombarding and fast condensing particles, and is dependent on the substrate bias (US) and ion current density (iS), the process total pressure (pT) and the deposition rate (aD) and time; Emt represents the heat incoming from the magnetron target and is dependent on the target power density (Wt), the substrate-to-target distance (ds-t) and the deposition time; Ech is the energy emanated from the exothermal chemical reactions and it has a dependency on the deposition time; Erad represents the heat which is radiated from the plasma and is dependent on the deposition time. In a deposition at RT, such as the one in this study, Ep becomes the main contributor to the energy delivered to the growing film, which in turn is influenced by other process parameters, like total pressure and deposition rate. For an in-depth analysis of each term of Equation (6), please see [25].
All of the above mentioned parameters need to be fine-tuned because higher values do not always mean better results and the total amount of energy that goes into the growing thin film also influences its later crack resistance [29,30]. Clearly, through the combination of parameters used here, the energy delivered to our growing TiO2 thin films was enough to produce the rutile phase of TiO2. The influence of different combinations of these parameters can be seen in the different results obtained in other works.

5. Conclusions

In this work, we successfully deposited crystalline, homogeneous, rutile TiO2 thin films with smooth surfaces by RF MS at RT, without any induced substrate bias and in a continuous gas flow. Nezar et al. [26] similarly did not use any substrate bias or heating and they obtained predominantly anatase TiO2. This is easily explained by the fact that we used more RF power, which increased our sputtering yield, and a considerably higher vacuum, which increased the mean free path of our atoms/ions. These, together with a very low deposition rate, are the main reasons we managed to obtain higher energy particles at the substrate.
As this work proves the possibility of depositing highly energetic TiO2 by RF MS at RT, the next step would be to optimize the oxygen partial pressure in order to lower the defect density and to undertake targeted research for the possible applications of our TiO2 films in micro-optoelectronics. As our samples find themselves in zone T of the SZM, they were assumed to be crack resistant [29,30] and no further tests were made. In future research, thicker films will be deposited to better analyze the cross-section microstructure and direct measurements of crack resistance will be made.

Author Contributions

Conceptualization, O.-G.S., O.B. and A.A.; Validation, O.B. and A.A.; Formal Analysis, O.-G.S., C.R., O.T. and V.I.; Investigation, O.-G.S., C.R., O.T. and V.I.; Resources, A.A.; Data Curation, O.-G.S.; Writing—Original Draft Preparation, O.-G.S.; Writing—Review and Editing, O.-G.S., C.R., O.T., V.I., O.B. and A.A.; Visualization, O.-G.S., C.R. and O.T.; Supervision, O.B. and A.A.; Project Administration, O.B. and A.A.; Funding Acquisition, O.B. and A.A.

Funding

This research was funded by the Romanian Ministry of Research and Innovation, through the contract “Advanced new research in micro/nanoelectronics, photonics and micro/nano-bio systems for applications development in the fields of specialization” (MICRO-NANO-SIS PLUS), project “Atomically thin (2D) materials and their applications at the limit of Moore’s law” (PN-19160202).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Richards, B. Single-material TiO2 double-layer antireflection coatings. Sol. Energy Mater. Sol. Cells 2003, 79, 369–390. [Google Scholar] [CrossRef]
  2. Majeed, A.; He, J.; Jiao, L.; Zhong, X.; Sheng, Z. Surface properties and biocompatibility of nanostructured TiO2 film deposited by RF magnetron sputtering. Nanoscale Res. Lett. 2015, 10, 91. [Google Scholar] [CrossRef]
  3. Bait, L.; Azzouz, L.; Saoula, N.; Madaoui, N. Influence of substrate bias voltage on the properties of TiO2 deposited by radio-frequency magnetron sputtering on 304L for biomaterials applications. Appl. Surf. Sci. 2017, 395, 72–77. [Google Scholar] [CrossRef]
  4. Krishna, D.S.R.; Sun, Y. Thermally oxidised rutile-TiO2 coating on stainless steel for tribological properties and corrosion resistance enhancement. Appl. Surf. Sci. 2005, 252, 1107–1116. [Google Scholar] [CrossRef]
  5. Shen, G.; Chen, Y.; Lin, L.; Lin, C.; Scantlebury, D. Study on a hydrophobic nano-TiO2 coating and its properties for corrosion protection of metals. Electrochim. Acta 2005, 50, 5083–5089. [Google Scholar] [CrossRef]
  6. Bamoulid, L.; Maurette, M.T.; De Caro, D.; Guenbour, A.; Bachir, A.B.; Aries, L.; El Hajjaji, S.; Benoît-Marquié, F.; Ansart, F. An efficient protection of stainless steel against corrosion: Combination of a conversion layer and titanium dioxide deposit. Surf. Coat. Technol. 2008, 202, 5020–5026. [Google Scholar] [CrossRef] [Green Version]
  7. Shan, C.; Hou, X.; Choy, K.L. Corrosion resistance of TiO2 films grown on stainless steel by atomic layer deposition. Surf. Coat. Technol. 2008, 202, 2399–2402. [Google Scholar] [CrossRef]
  8. Liu, T.; Zhang, F.; Xue, C.; Li, L.; Yin, Y. Structure stability and corrosion resistance of nano-TiO2 coatings on aluminum in seawater by a vacuum dip-coating method. Surf. Coat. Technol. 2010, 205, 2335–2339. [Google Scholar] [CrossRef]
  9. Xie, D.; Wang, H.; Ganesan, R.; Leng, Y.; Sun, H.; Huang, N. Fatigue durability and corrosion resistance of TiO2 films on CoCrMo alloy under cyclic deformation. Surf. Coat. Technol. 2015, 275, 252–259. [Google Scholar] [CrossRef]
  10. Wang, N.; Fu, W.; Zhang, J.; Li, X.; Fang, Q. Corrosion performance of waterborne epoxy coatings containing polyethylenimine treated mesoporous-TiO2 nanoparticles on mild steel. Prog. Org. Coat. 2015, 89, 114–122. [Google Scholar] [CrossRef]
  11. Karunagaran, B.; Uthirakumar, P.; Chung, S.; Velumani, S.; Suh, E.K. TiO2 thin film gas sensor for monitoring ammonia. Mater. Charact. 2007, 58, 680–684. [Google Scholar] [CrossRef]
  12. Dhivya, P.; Prasad, A.K.; Sridharan, M. Nanostructured TiO2 films: Enhanced NH3 detection at room temperature. Ceram. Int. 2014, 40, 409–415. [Google Scholar] [CrossRef]
  13. Patil, M.K.; Shaikh, S.; Ganesh, I. Recent advances on TiO2 thin film based photocatalytic applications (A review). Curr. Nanosci. 2015, 11, 271–285. [Google Scholar] [CrossRef]
  14. Nair, P.B.; Justinvictor, V.B.; Daniel, G.P.; Joy, K.; Raju, K.J.; Kumar, D.D.; Thomas, P.V. Optical parameters induced by phase transformation in RF magnetron sputtered TiO2 nanostructured thin films. Prog. Nat. Sci. Mater. Int. 2014, 24, 218–225. [Google Scholar] [CrossRef]
  15. Schönberger, W.; Bartzsch, H.; Schippel, S.; Bachmann, T. Deposition of rutile TiO2 films by pulsed and high power pulsed magnetron sputtering. Surf. Coat. Technol. 2016, 293, 16–20. [Google Scholar] [CrossRef]
  16. Wypych, A.; Bobowska, I.; Tracz, M.; Opasińska, A.; Kadlubowski, S.; Krzywania-Kaliszewska, A.; Grobelny, J.; Wojciechowski, P. Dielectric properties and characterisation of titanium dioxide obtained by different chemistry methods. J. Nanomater. 2014, 2014, 1–9. [Google Scholar] [CrossRef]
  17. Sekhar, M.C.; Kondaiah, P.; Chandra, S.J.; Rao, G.M.; Uthanna, S. Effect of substrate bias voltage on the structure, electric and dielectric properties of TiO2 thin films by DC magnetron sputtering. Appl. Surf. Sci. 2011, 258, 1789–1796. [Google Scholar] [CrossRef]
  18. Selhofer, H.; Muller, R. Comparison of pure and mixed coating materials for AR coatings for use by reactive evaporation on glass and plastic lenses. Thin Solid Film. 1999, 351, 180–183. [Google Scholar] [CrossRef]
  19. Yu, J.; Zhao, X. Effect of substrates on the photocatalytic activity of nanometer TiO2 thin films. Mater. Res. Bull. 2000, 35, 1293–1301. [Google Scholar] [CrossRef]
  20. Song, P.; Irie, Y.; Shigesato, Y. Crystallinity and photocatalytic activity of TiO2 films deposited by reactive sputtering with radio frequency substrate bias. Thin Solid Film. 2006, 496, 121–125. [Google Scholar] [CrossRef]
  21. Jagadale, T.C.; Takale, S.P.; Sonawane, R.S.; Joshi, H.M.; Patil, S.I.; Kale, B.B.; Ogale, S.B. N-Doped TiO2 nanoparticle based visible light photocatalyst by modified peroxide sol−gel method. J. Phys. Chem. C 2008, 112, 14595–14602. [Google Scholar] [CrossRef]
  22. Višniakov, J.; Janulevičius, A.; Maneikis, A.; Matulaitienė, I.; Selskis, A.; Stanionytė, S.; Suchodolskis, A. Antireflection TiO2 coatings on textured surface grown by HiPIMS. Thin Solid Film. 2017, 628, 190–195. [Google Scholar] [CrossRef]
  23. Šícha, J.; Novák, O.; Kudláček, P.; Vlček, J. Ion flux characteristics in pulsed dual magnetron discharges used for deposition of photoactive TiO2 films. Plasma Process. Polym. 2011, 8, 191–199. [Google Scholar] [CrossRef]
  24. Šícha, J.; Heřman, D.; Musil, J.; Stryhal, Z.; Pavlík, J. High-rate low-temperature DC pulsed magnetron sputtering of photocatalytic TiO2 films: The effect of repetition frequency. Nanoscale Res. Lett. 2007, 2, 123–129. [Google Scholar] [CrossRef]
  25. Musil, J.; Šícha, J.; Heřman, D.; Čerstvý, R. Role of energy in low-temperature high-rate formation of hydrophilic TiO2 thin films using pulsed magnetron sputtering. J. Vac. Sci. Technol. A 2007, 25, 666. [Google Scholar] [CrossRef]
  26. Nezar, S.; Sali, S.; Faiz, M.; Mekki, M.; Laoufi, N.A.; Saoula, N.; Tabet, N. Properties of TiO2 thin films deposited by rf reactive magnetron sputtering on biased substrates. Appl. Surf. Sci. 2017, 395, 172–179. [Google Scholar] [CrossRef]
  27. Herzinger, C.M.; Johs, B.; McGahan, W.A.; Woollam, J.A.; Paulson, W. Ellipsometric determination of optical constants for silicon and thermally grown silicon dioxide via a multi-sample, multi-wavelength, multi-angle investigation. J. Appl. Phys. 1998, 83, 3323–3336. [Google Scholar] [CrossRef]
  28. Fujiwara, H. Spectroscopic Ellipsometry Principles and Applications; John Wiley & Sons Ltd.: West Sussex, UK, 2007; ISBN 978-0-470-01608-4. [Google Scholar]
  29. Musil, J. Hard nanocomposite coatings: Thermal stability, oxidation resistance and toughness. Surf. Coat. Technol. 2012, 207, 50–65. [Google Scholar] [CrossRef]
  30. Musil, J. Flexible hard nanocomposite coatings. RSC Adv. 2015, 5, 60482–60495. [Google Scholar] [CrossRef]
  31. Kelly, P.J.; Arnell, R.D. Development of a novel structure zone model relating to the closed-field unbalanced magnetron sputtering system. J. Vac. Sci. Technol. A 1998, 16, 2858–2869. [Google Scholar] [CrossRef]
  32. Miao, L.; Jin, P.; Kaneko, K.; Terai, A.; Nabatova-Gabain, N.; Tanemura, S. Preparation and characterization of polycrystalline anatase and rutile TiO2 thin films by rf magnetron sputtering. Appl. Surf. Sci. 2003, 212, 255–263. [Google Scholar] [CrossRef]
  33. Thornton, J.A. Influence of apparatus geometry and deposition conditions on the structure and topography of thick sputtered coatings. J. Vac. Sci. Technol. 1974, 11, 666–670. [Google Scholar] [CrossRef]
  34. Tanemura, S.; Miao, L.; Jin, P.; Kaneko, K.; Terai, A.; Nabatova-Gabain, N. Optical properties of polycrystalline and epitaxial anatase and rutile TiO2 thin films by rf magnetron sputtering. Appl. Surf. Sci. 2003, 212, 654–660. [Google Scholar] [CrossRef]
  35. Sekhar, M.C.; Kondaiah, P.; Krishna, B.R.; Uthanna, S. Effect of oxygen partial pressure on the electrical and optical properties of DC magnetron sputtered amorphous TiO2 films. J. Spectrosc. 2013, 2013, 1–7. [Google Scholar] [CrossRef]
  36. Liao, M.; Niu, H.; Chen, G. Effect of sputtering pressure and post-annealing on hydrophilicity of TiO2 thin films deposited by reactive magnetron sputtering. Thin Solid Film. 2010, 518, 7258–7262. [Google Scholar] [CrossRef]
  37. Wiatrowski, A.; Mazur, M.; Obstarczyk, A.; Wojcieszak, D.; Kaczmarek, D.; Morgiel, J.; Gibson, D. Comparison of the physicochemical properties of TiO2 thin films obtained by magnetron sputtering with continuous and pulsed gas flow. Coatings 2018, 8, 412. [Google Scholar] [CrossRef]
  38. Zheng, J.; Bao, S.; Guo, Y.; Jin, P. TiO2 films prepared by DC reactive magnetron sputtering at room temperature: Phase control and photocatalytic properties. Surf. Coat. Technol. 2014, 240, 293–300. [Google Scholar] [CrossRef]
  39. Nair, P.B.; Justinvictor, V.; Daniel, G.P.; Joy, K.; Ramakrishnan, V.; Thomas, P. Effect of RF power and sputtering pressure on the structural and optical properties of TiO2 thin films prepared by RF magnetron sputtering. Appl. Surf. Sci. 2011, 257, 10869–10875. [Google Scholar] [CrossRef]
  40. Wang, S.F.; Hsu, Y.F.; Lee, Y.S. Microstructural evolution and optical properties of doped TiO2 films prepared by RF magnetron sputtering. Ceram. Int. 2006, 32, 121–125. [Google Scholar] [CrossRef]
  41. Pradhan, S.S.; Sahoo, S.; Pradhan, S. Influence of annealing temperature on the structural, mechanical and wetting property of TiO2 films deposited by RF magnetron sputtering. Thin Solid Film. 2010, 518, 6904–6908. [Google Scholar] [CrossRef]
  42. Shen, Y.; Yu, H.; Yao, J.; Shao, S.; Fan, Z.; He, H.; Shao, J. Investigation on properties of TiO2 thin films deposited at different oxygen pressures. Opt. Laser Technol. 2008, 40, 550–554. [Google Scholar] [CrossRef]
  43. Chapman, B.N. Sputtering. In Glow Discharge Processes—Sputtering and Plasma Etching; Wiley: New York, NY, USA, 1980; pp. 177–296. [Google Scholar]
Figure 1. Experimental data for a TiO2 thin film deposited at Ar:O2 flow rate of 30:1.5 sccm vs. the model fit with a single Gauss oscillator for (a) the amplitude ratio Ψ, and (b) the phase difference Δ.
Figure 1. Experimental data for a TiO2 thin film deposited at Ar:O2 flow rate of 30:1.5 sccm vs. the model fit with a single Gauss oscillator for (a) the amplitude ratio Ψ, and (b) the phase difference Δ.
Coatings 09 00442 g001
Figure 2. Experimental GI-XRD pattern of a Ti thin film deposited under Ar:O2 = 30:0 sccm flow rate (bottom) and Ar:O2 = 30:0.5 sccm flow rate (top) in the chamber (black curves) and simulated patterns (red curves).
Figure 2. Experimental GI-XRD pattern of a Ti thin film deposited under Ar:O2 = 30:0 sccm flow rate (bottom) and Ar:O2 = 30:0.5 sccm flow rate (top) in the chamber (black curves) and simulated patterns (red curves).
Coatings 09 00442 g002
Figure 3. (a) Experimental GI-XRD patterns (black curve) of the investigated samples and the corresponding simulated curves (red curve). The letters “r” and “a” symbolize the rutile and anatase phases and the sharp peak at ~51° for the middle spectrum (Ar:O2 = 30:1.5) is caused by the Si substrate. (b) Enhancement of (a) in the 2θ range of 23°–29° for the TiO2 thin films.
Figure 3. (a) Experimental GI-XRD patterns (black curve) of the investigated samples and the corresponding simulated curves (red curve). The letters “r” and “a” symbolize the rutile and anatase phases and the sharp peak at ~51° for the middle spectrum (Ar:O2 = 30:1.5) is caused by the Si substrate. (b) Enhancement of (a) in the 2θ range of 23°–29° for the TiO2 thin films.
Coatings 09 00442 g003
Figure 4. XRR profiles and calculated data. Inset represents thickness (t), density (ρ) and surface roughness (σ).
Figure 4. XRR profiles and calculated data. Inset represents thickness (t), density (ρ) and surface roughness (σ).
Coatings 09 00442 g004
Figure 5. Cross-section SEM images at 300 k× magnification of TiO2 film deposited at (a) Ar:O2 = 30:1, (b) Ar:O2 = 30:1.5 and (c) Ar:O2 = 30:2 sccm flow rate.
Figure 5. Cross-section SEM images at 300 k× magnification of TiO2 film deposited at (a) Ar:O2 = 30:1, (b) Ar:O2 = 30:1.5 and (c) Ar:O2 = 30:2 sccm flow rate.
Coatings 09 00442 g005aCoatings 09 00442 g005b
Figure 6. Element distribution mapping at 2000× magnification of the TiO2 sample deposited under Ar:O2 = 30:2 sccm flow rate.
Figure 6. Element distribution mapping at 2000× magnification of the TiO2 sample deposited under Ar:O2 = 30:2 sccm flow rate.
Coatings 09 00442 g006
Figure 7. The dispersion of optical constants: (a) Refractive index (inset: enhancement in the wavelength range of 500–550 nm) and (b) extinction coefficient for the as-deposited TiO2 thin films obtained from fitting with a single Gauss oscillator.
Figure 7. The dispersion of optical constants: (a) Refractive index (inset: enhancement in the wavelength range of 500–550 nm) and (b) extinction coefficient for the as-deposited TiO2 thin films obtained from fitting with a single Gauss oscillator.
Coatings 09 00442 g007
Figure 8. Tauc plot for indirect transitions for a TiO2 thin film deposited under Ar:O2=30:2 sccm flow rate. Inset represents the plot of the optical band gap energy as a function of O2 flow rate during deposition.
Figure 8. Tauc plot for indirect transitions for a TiO2 thin film deposited under Ar:O2=30:2 sccm flow rate. Inset represents the plot of the optical band gap energy as a function of O2 flow rate during deposition.
Coatings 09 00442 g008
Table 1. Deposition parameters for the TiO2 films.
Table 1. Deposition parameters for the TiO2 films.
ParameterValue
TargetTi (99.5% pure)
Target-to-substrate distance (mm)90
Base pressure (Pa)1.33 × 10−5
Process pressure (Pa)0.26
RF power (W)500
RF power target density 1 (W/cm2)2.83
Argon flow rate (sccm 2)30 (99.999% pure)
Oxygen flow rate (sccm)0–2 (0.5 step) (99.999% pure)
1 value was averaged over the whole target area; 2 sccm = standard cubic centimeter per minute.
Table 2. The values of Gauss parameters and MSE obtained by fitting the experimental data with a single oscillator.
Table 2. The values of Gauss parameters and MSE obtained by fitting the experimental data with a single oscillator.
Ar:O2 Flow (sccm)AmpEn (eV)Br (eV)Einf1MSE
30:120.852 ± 0.07044.5444 ± 0.00620.91657 ± 0.006013.1789 ± 0.02366.552
30:1.519.369 ± 0.0754.5151 ± 0.006030.92139 ± 0.006323.3776 ± 0.03257.901
30:219.411 ± 0.07364.4924 ± 0.006340.90886 ± 0.006283.3995 ± 0.02717.79
1 The third decimals for the absolute errors result from software calculation. A single decimal is more reasonable.
Table 3. Structural parameters for Ti and TiO2: lattice constant, lattice strain and mean crystallite size.
Table 3. Structural parameters for Ti and TiO2: lattice constant, lattice strain and mean crystallite size.
Ar:O2 Flow (sccm)Lattice Constant (nm)Lattice Strain (%)Mean Crystallite Size (nm)
abc
30:00.290.290.460.1511.4
30:0.50.300.300.470.444.9
30:10.460.460.290.674.3
30:1.50.460.460.290.553.1
30:20.460.460.290.613.7
Table 4. Comparison between TiO2 deposited by RF magnetron sputtering at RT and without any intentional substrate bias.
Table 4. Comparison between TiO2 deposited by RF magnetron sputtering at RT and without any intentional substrate bias.
Present WorkNezar et al. [26]
RF power (W)500250
Process pressure (Pa)0.262.66
O2 (%)0–6.2525
Target-to-substrate distance (mm)9030
Crystallization phaserutileanatase
Main crystal orientation(110), (211) and (220)(101)

Share and Cite

MDPI and ACS Style

Simionescu, O.-G.; Romanițan, C.; Tutunaru, O.; Ion, V.; Buiu, O.; Avram, A. RF Magnetron Sputtering Deposition of TiO2 Thin Films in a Small Continuous Oxygen Flow Rate. Coatings 2019, 9, 442. https://doi.org/10.3390/coatings9070442

AMA Style

Simionescu O-G, Romanițan C, Tutunaru O, Ion V, Buiu O, Avram A. RF Magnetron Sputtering Deposition of TiO2 Thin Films in a Small Continuous Oxygen Flow Rate. Coatings. 2019; 9(7):442. https://doi.org/10.3390/coatings9070442

Chicago/Turabian Style

Simionescu, Octavian-Gabriel, Cosmin Romanițan, Oana Tutunaru, Valentin Ion, Octavian Buiu, and Andrei Avram. 2019. "RF Magnetron Sputtering Deposition of TiO2 Thin Films in a Small Continuous Oxygen Flow Rate" Coatings 9, no. 7: 442. https://doi.org/10.3390/coatings9070442

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop