Method for Keyhole-Free High-Aspect-Ratio Trench Refill by LPCVD
Abstract
:1. Introduction
2. Influencing the Entrance Effect and Trench Profile
2.1. Trench Entrance Etch
2.2. Trench Etch
2.2.1. Process Pressure and Ion Angular Distribution
2.2.2. Platen Power and Image Force
2.2.3. Trench Profile, Etch Cycle Time, and Ion Angular Distribution
3. Materials and Methods
4. Results
4.1. Trench Entrance Etch
4.2. HAR Trench Etch
Scallop Size and Etch Cycle Time
- 16 , 22 and 40 for 1.5 and etch cycles:For , the scallop height was in the order of 100 and for it was 450 to 500 . The settings 22 and 40 did not show a big difference for the height. For , the scallop width was in the order of 25 to 30 , and for , it is 60 to 100 .
- 30 , 22 and 40 for 1.5 and etch cycle:The same trend was observed for the scallop height and width when the pressure was increased up to 30 . The length of the scallops after etch steps was in the order of 125 , and for etch steps of this was 750 . The scallop height and width were not strongly affected by changing the settings. This indicates that the higher pressure of 30 (or increased chemical flux) was responsible for the higher observed etch rate of silicon and the dimensional increase in the scallop, whereby the FC removal was not limiting this chemical flux.
- 40 , 22 and 40 for 1.5 and etch cycle:Increasing the pressure even further up to 40 increased the scallop height of the scallops for etch cycles of up to 950 . The width was less affected by the higher pressure and was for etch cycles of in the order of 60 to 240 . For , the influence was relatively small and resulted in dimensions comparable with those obtained at pressure values of 16 and 30 .
4.3. Trench Refill
5. Discussion
6. Conclusions
Author Contributions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Acknowledgments
Conflicts of Interest
Abbreviations
C4F8 | octafluorocyclobutane |
high frequency capacitively coupled plasma | |
low frequency capacitively coupled plasma | |
CHF3 | trifluoromethane |
DI | de-ionised |
DREM | Deposit, Remove, Etch, Multistep |
DRIE | deep reactive ion etching |
FC | fluorocarbon |
HAR | high-aspect ratio |
HNO3 | nitric acid |
IAD(F) | ion angular distribution (function) |
ICP | inductively coupled plasma |
IF | image force |
LPCVD | low-pressure chemical vapour deposition |
MEMS | micro-electromechanical systems |
O2 | oxygen |
poly-Si | polycrystalline silicon |
RIE | reactive ion etching |
SEM | scanning electron microscopy |
SF5+ | sulfur pentafluoride cation |
SF6 | sulphur hexafluoride |
SixNy | silicon nitride (undefined) |
SOI | silicon-on-insulator |
(t-)SiO2 | (thermal) silicon dioxide |
TASCT | Trench-Assisted Surface Channel Technology |
References
- Zhang, C.; Najafi, K. Fabrication of thick silicon dioxide layers for thermal isolation. J. Micromech. Microeng. 2004, 14, 769–774. [Google Scholar] [CrossRef] [Green Version]
- Zhu, Y.; Yan, G.; Fan, J.; Liu, X.; Li, Z.; Wang, Y. Fabrication of keyole-free ultra-deep high-aspect-ratio isolation trench and its applications. J. Micromech. Microeng. 2005, 15, 636–642. [Google Scholar] [CrossRef]
- Gu, L.; Li, X.; Bao, H.; Liu, B.; Wang, Y.; Liu, M.; Yang, Z.; Cheng, B. Single-wafer-processed nano-positioning XY-stages with trench-sidewall micromachining technology. J. Micromech. Microeng. 2006, 16, 1349–1357. [Google Scholar] [CrossRef]
- Brouwer, D.M.; de Jong, B.R.; de Boer, M.J.; Jansen, H.V.; van Dijk, J.; Krijnen, G.J.M.; Soemers, H.M.J.R. MEMS-based clamp with a passive hold function for precision position retaining of micro manipulators. J. Micromech. Microeng. 2009, 19, 065027. [Google Scholar] [CrossRef]
- Xie, J. Fabrication challenges and test structures for high-aspect-ratio SOI MEMS devices with refilled electrical isolation trenches. Microsyst. Technol. 2015, 21, 1719–1727. [Google Scholar] [CrossRef]
- Sarajlic, E.; Berenschot, J.W.; Krijnen, G.J.M.; Elwenspoek, M.C. Versatile trench isolation technology for the fabrication of microactuators. Microelectron. Eng. 2003, 67–68, 430–437. [Google Scholar] [CrossRef]
- Sarajlic, E.; de Boer, M.J.; Jansen, H.V.; Arnal, N.; Puech, M.; Krijnen, G.J.M.; Elwenspoek, M.C. Advanced plasma processing combined with trench isolation technology for fabrication and fast prototyping of high aspect ratio MEMS in standard silicon wafers. J. Micromech. Microeng. 2004, 14, S70–S75. [Google Scholar] [CrossRef]
- Zhao, Y.; Veltkamp, H.W.; de Boer, M.J.; Zeng, Y.; Groenesteijn, J.; Wiegerink, R.J.; Lötters, J.C. Fabrication process for a large volume silicon nitride micro-combustor. In Proceedings of the 43rd Conference on Micro and Nanoengineering (MNE), Braga, Portugal, 18 September 2017; p. 450. [Google Scholar]
- Veltkamp, H.W.; Zhao, Y.; de Boer, M.J.; Groenesteijn, J.; Wiegerink, R.J.; Lötters, J.C. Fabrication of large-volume rectangular channels using trench-sidewall technology and a SOI substrate. In Proceedings of the 3rd Conference on Microfluidic Handling Systems (MFHS), Enschede, The Netherlands, 4–6 October 2017; pp. 114–117. [Google Scholar]
- Zhao, Y.; Veltkamp, H.W.; de Boer, M.J.; Zeng, Y.; Groenesteijn, J.; Wiegerink, R.J.; Lötters, J.C. Design principles and fabrication method for a miniaturized fuel gas combustion reactor. In Proceedings of the 3rd Conference on Microfluidic Handling Systems (MFHS), Enschede, The Netherlands, 4–6 October 2017; pp. 37–40. [Google Scholar]
- Veltkamp, H.W.; Zhao, Y.; de Boer, M.J.; Sanders, R.G.P.; Wiegerink, R.J.; Lötters, J.C. High power Si sidewall heaters for fluidic applications fabricated by trench-assisted surface channel technology. In Proceedings of the IEEE 32nd International Conference on Micro Electo Mechanical Systems (MEMS), Seoul, Korea, 27–31 January 2019; pp. 648–651. [Google Scholar] [CrossRef]
- de Boer, M.J.; Tjerkstra, R.W.; Berenschot, J.W.; Jansen, H.V.; Burger, G.J.; Gardeniers, J.G.E.; Elwenspoek, M.C.; van den Berg, A. Micromachining of buried micro channels in silicon. J. Microelectromech. Syst. 2000, 9, 94–103. [Google Scholar] [CrossRef]
- Pierre, F.; Aachboun, S.; Bonnaud, O.; Lhermite, H.; Ranson, P.; Anceau, C.; Cornibert, L. Deep discrete trenches filled by in situ doped polysilicon: An alternative method for junction insulating box. In Proceedings of the Society of Photo-Optical Instrumentation Engineers (SPIE): Microelectronic Device Technology III, Santa Clara, CA, USA, 1 September 1999; Volume 3881, pp. 252–258. [Google Scholar] [CrossRef]
- de Jong, B.R.; Jansen, H.V.; de Boer, M.J.; Krijnen, G.J.M. Tailored etch-profiles of high aspect ratio trenches to prevent voids after refill with LPCVD SiRN. In Proceedings of the 16th Micromechanics and Microsystems Europe (MNE) Workshop, Göteborg, Sweden, 4–6 September 2005; pp. 4–6. Available online: https://research.utwente.nl/en/publications/tailored-etch-profiles-of-high-aspect-ratio-trenches-to-prevent-v (accessed on 30 March 2020).
- Lei, Y.; Wang, W.; Yu, H.; Li, T.; Jin, Y.; Zhang, H.; Li, Z. Deep trench refilling with parylene C for high-quality isolation in bulk micromachined devices. In Proceedings of the 2nd International Conference on Integration and Commercialization of Micro and Nanosystems, Hong Kong, China, 3–5 June 2008; pp. 601–604. [Google Scholar] [CrossRef]
- Qian, L.; Wang, J.; Yang, Z.; Yan, G. Fabrication of ultra-deep high-aspect-ratio isolation trench without void and its application. In Proceedings of the 2010 IEEE 5th International Conference on Nano/Micro Engineered and Molecular Systems, Xiamen, China, 20–23 January 2010; Volume 5, pp. 654–657. [Google Scholar] [CrossRef]
- Deal, B.E.; Grove, A.S. General relationship for the thermal oxidation of silicon. J. Appl. Phys. 1965, 36, 3770–3778. [Google Scholar] [CrossRef] [Green Version]
- Kühl, K.; Vogel, S.; Schaber, U.; Schafflik, R.; Hillerich, B. Advanced silicon trench etching in MEMS applications. In Proceedings of the Society of Photo-Optical Instrumentation Engineers (SPIE): Micromachining and Microfabrication Process Technology IV, Santa Clara, CA, USA, 31 August 1998; Volume 3511, pp. 97–105. [Google Scholar] [CrossRef]
- Purdue University. STS Advanced Silicon Etch DRIEHRM System Trends. Technical Note. 2007. Available online: https://www.purdue.edu/discoverypark/birck/files/STS_ASE_DRIE_Trends.pdf (accessed on 17 May 2021).
- Yu, K.; Kumar, A. Method of Silicon Etch for Trench Sidewall Smoothing. U.S. Patent US 9,159,574B2, 22 August 2013. Available online: https://patents.google.com/patent/US20140057446 (accessed on 28 July 2021).
- Son, S.N.; Hong, S.J. Quantitative evaluation method for etch sidewall profile of through-silicon vias (TSVs). ETRI J. 2014, 36, 617–624. [Google Scholar] [CrossRef] [Green Version]
- Dixit, P.; Vähänen, S.; Salonen, J.; Monnoyer, P. Effect of process gases on fabricating tapered through-silicon vias by continuous SF6/O2/Ar plasma etching. ECS J. Solid State Sci. Technol. 2012, 1, P107–P116. [Google Scholar] [CrossRef]
- Li, X.Y.; Li, G.T.; Ren, S.; Qiao, D.Y. Void-free trench isolation based on a new trench design. Microsyst. Technol. 2013, 19, 757–761. [Google Scholar] [CrossRef]
- Nagarajan, R.; Prasad, K.; Ebin, L.; Narayanan, B. Development of dual-etch via tapering process for through-silicon interconnection. Sens. Actuators A Phys. 2007, 139, 323–329. [Google Scholar] [CrossRef]
- Nagarajan, R.; Lee, D.Y.; Ebin, L.; Balasubramanian, N.; Prasad, K.; Pey, K.L. The development of a tapered silicon micro-micromachining process for 3D microsystems packaging. J. Micromech. Microeng. 2008, 18, 115028. [Google Scholar] [CrossRef]
- Chang, B.; Leussink, P.J.; Jensen, F.; Hübner, J.; Jansen, H.V. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process. Microelectron. Eng. 2018, 191, 77–83. [Google Scholar] [CrossRef]
- Chang, B.; Jensen, F.; Hübner, J.; Jansen, H.V. DREM2: A facile fabrication strategy for freestanding three dimensional silicon micro- and nanostructures by a modified Bosch etch process. J. Micromech. Microeng. 2018, 28, 105012. [Google Scholar] [CrossRef] [Green Version]
- Liu, J.; Huppert, G.L.; Sawin, H.H. Ion bombardment in rf plasmas. J. Appl. Phys. 1990, 68, 3916–3934. [Google Scholar] [CrossRef]
- Arnold, J.C.; Gray, D.C.; Sawin, H.H. Influence of reactant transport on fluorine reactive ion etching of deep trenches in silicon. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1993, 11, 2071–2080. [Google Scholar] [CrossRef]
- Laermer, F.; Schilp, A. Method of Anisotropically Etching Silicon. U.S. Patent US 5,501,893, 27 November 1993. Available online: https://patents.google.com/patent/US5501893A/en (accessed on 28 July 2021).
- Lin, Y.; Yuan, R.; Zhang, X.; Chen, Z.; Zhang, H.; Su, Z.; Guo, S.; Wang, X.; Wang, C. Deep dry etching of silicon with scallop size uniformly larter than 300 nm. Silicon 2019, 11, 651–658. [Google Scholar] [CrossRef]
- Zhang, Z. Hierarchical black silicon and their applications. Ph.D. Thesis, University of South-Eastern Norway, Horten, Norway, 2022. Available online: https://openarchive.usn.no/usn-xmlui/handle/11250/2836427 (accessed on 23 August 2022).
- Economou, D.J.; Alkire, R.C. Effect of potential field on ion deflection and shape evolution of trenches during plasma-assisted etching. J. Electrochem. Soc. 1988, 135, 941–949. [Google Scholar] [CrossRef] [Green Version]
- Ingram, S.G. The influence of substrate topography on ion bombardment in plasma etching. J. Appl. Phys. 1990, 68, 500–504. [Google Scholar] [CrossRef]
- Arnold, J.C.; Sawin, H.H. Charging of pattern features during plasma etching. J. Appl. Phys. 1991, 70, 5314–5317. [Google Scholar] [CrossRef]
- Davis, R.J. Image potentials and the dry etching of submicron trenches with low-energy ions. Appl. Phys. Lett. 1991, 59, 1717–1719. [Google Scholar] [CrossRef]
- Jansen, H.V.; de Boer, M.J.; Elwenspoek, M.C. The black silicon method. VI. High aspect ratio trench etching for MEMS applications. In Proceedings of the 9th International Workshop on Micro Electromechanical Systems, San Diego, CA, USA, 11–15 February 1996; pp. 250–257. [Google Scholar] [CrossRef] [Green Version]
- Jansen, H.V.; de Boer, M.J.; Wiegerink, R.J.; Tas, N.R.; Smulders, E.J.T.; Neagu, C.R.; Elwenspoek, M.C. RIE lag in high aspect ratio trench etching of silicon. Microelectron. Eng. 1997, 35, 45–50. [Google Scholar] [CrossRef]
- Elwenspoek, M.C.; Jansen, H.V. Silicon Micromachining, 1st ed.; Cambridge University Press: Cambridge, UK, 1998. [Google Scholar]
- Jansen, H.V.; de Boer, M.J.; Unnikrishnan, S.; Louwerse, M.C.; Elwenspoek, M.C. Black silicon method X: A review on high speed and selective plasma etching of silicon with profile control: An in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment. J. Micromech. Microeng. 2009, 19, 033001. [Google Scholar] [CrossRef]
- Oxford Instruments Plasma Technology. PlasmaPro 100 Estrelas DSiE. Product website. Available online: https://plasma.oxinst.com/products/dsie/plasmapro-100-estrelas-dsie (accessed on 28 July 2021).
- Veltkamp, H.W.; Zhao, Y.; de Boer, M.J.; Wiegerink, R.J.; Lötters, J.C. A short post-processing method for high aspect ratio trenches after Bosch etching. In Proceedings of the 45th Conference on Micro and Nanoengineering (MNE), Rhodes, Greece, 23–26 September 2019; p. PA65. [Google Scholar]
- Kern, W. The evolution of silicon wafer cleaning technology. J. Electrochem. Soc. 1990, 137, 1887–1892. [Google Scholar] [CrossRef]
- Timmons, C.L. Fluorocarbon Post-Etch Residue Removal Using Radical Anion Chemistry. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2004. Available online: http://hdl.handle.net/1853/10583 (accessed on 6 October 2020).
- Timmons, C.L.; Hess, D.W. Photoresist and fluorocarbon postplasma etch residue removal using electrochemically generated radical anions. J. Electrochem. Soc. 2008, 155, H771–H777. [Google Scholar] [CrossRef]
- Marcus, R.B.; Sheng, T.T. The oxidation of shaped silicon surfaces. J. Electrochem. Soc. 1982, 129, 1278–1282. [Google Scholar] [CrossRef]
- Ligenza, J.R. Silicon oxidation in an oxygen plasma excited by microwaves. J. Appl. Phys. 1965, 36, 2703–2707. [Google Scholar] [CrossRef]
- Mogab, C.J. The loading effect in plasma etching. J. Electrochem. Soc. 1977, 124, 1262–1268. [Google Scholar] [CrossRef]
- Gottscho, R.A.; Jurgensen, C.W. Microscopic uniformity in plasma etching. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1992, 10, 2133–2147. [Google Scholar] [CrossRef]
- Hedlund, C.; Blom, H.O.; Berg, S. Microloading effect in reactive ion etching. J. Vac. Sci. Technol. A Vac. Surf. Film. 1994, 12, 1962–1965. [Google Scholar] [CrossRef]
- Patterson, O.D.; Khargonekar, P.P. Reduction of loading effect in reactive ion etching using real-time closed-loop control. J. Electrochem. Soc. 1997, 144, 2865–2871. [Google Scholar] [CrossRef]
- Tjerkstra, R.W.; de Boer, M.J.; Berenshot, J.W.; Gardeniers, J.G.E.; van den Berg, A.; Elwenspoek, M.C. Etching technology for microchannels. In Proceedings of the IEEE 10th International Conference on Micro Electro Mechanical Systems (MEMS), Nagoya, Japan, 26–30 January 1997; pp. 147–152. [Google Scholar] [CrossRef]
- Tjerkstra, R.W. Isotropic Etching of Silicon in Fluoride Containing Solutions as a Tool for Micromachining. Ph.D. Thesis, University of Twente, Enschede, The Netherlands, 1999. Available online: https://research.utwente.nl/files/6038877/Willem_Tjerkstra.pdf (accessed on 9 February 2021).
- Karttunen, J.; Kiihamäki, J.; Franssila, S. Loading effects in deep silicon etching. In Proceedings of the Society of Photo-Optical Instrumentation Engineers (SPIE): Micromachining and Microfabrication Process Technology VI, Santa Clara, CA, USA, 25 August 2000; Volume 4174, pp. 90–97. [Google Scholar] [CrossRef]
- Rickard, A.; McNie, M. Characterization and optimisation of deep dry etching for MEMS applications. In Proceedings of the Society of Photo-Optical Instrumentation Engineers (SPIE): MEMS Design, Fabrication, Characterization, and Packaging, Edinburgh, UK, 30 April 2001; Volume 4407, pp. 78–88. [Google Scholar] [CrossRef]
- Gantz, K.; Agah, M. Predictable three-dimensional microfluidic channel fabrication in a single-mask process. In Proceedings of the 14th IEEE International Solid-State Sensors, Actuators and Microsystems Conference (TRANSDUCERS), Lyon, France, 10–14 June 2007; pp. 755–758. [Google Scholar] [CrossRef]
- Chin, D.; Dhong, S.H.; Long, G.J. Structural effects on a submicron trench process. J. Electrochem. Soc. 1985, 132, 1705–1707. [Google Scholar] [CrossRef]
- Jansen, H.V.; de Boer, M.J.; Wensink, H.; Kloeck, B.; Elwenspoek, M.C. The black silicon method. VIII. A study of the performance of etching silicon using SF6/O2-based chemistry with cryogenical wafer cooling and a high density ICP source. Microelectron. J. 2001, 32, 769–777. [Google Scholar] [CrossRef]
- Bhardwaj, J.K.; Ashraf, H.; Khamsehpour, B.; Hopkins, J.; Hynes, A.M.; Ryan, M.E.; Haynes, D.M. Method of surface treatment of semiconductor substrates. U.S. patent 6,051,503, 1 August 1997. Available online: https://patents.google.com/patent/US6051503A/en (accessed on 28 July 2021).
Bosch Cycle | |||||
---|---|---|---|---|---|
Setting | Unit | Strike Up | Pre-Deposition | Deposition | Etching |
Temperature | [] | 25 | 25 | 25 | 25 |
He BSC pressure | [] | 10 | 10 | 10 | 10 |
Time | [] | 5.0 | 5.0 | 2.4 | 3.0 |
ICP | [] | 1500 | 1500 | 1300 | 1600 |
[] | 50 | 0 | 0 | 0 | |
[] | 0 | 0 | 0 | 22 | |
Pressure | [] | 20 | 40 | 25 | 40 |
C4H8 flow | [] | 50 | 200 | 200 | 10 |
SF6 flow | [] | 0 | 10 | 10 | 200 |
O2 flow | [] | 50 | 0 | 0 | 0 |
Experiments | |||||||
---|---|---|---|---|---|---|---|
Process | 1 | 2 | 3 | 4 | 5 | 6 | |
Pressure | Time | Time | Time | Time | Time | Time | |
Step | [] | [] | [] | [] | [] | [] | [] |
Etch 1 | 40 | 5.0 | 5.0 | 2.5 | 2.5 | 2.5 | 2.5 |
Etch 2 | 100 | 5.0 | 2.0 | 2.0 | 1.0 | 2.0 | 1.5 |
Deposition | 25 | 2.4 | 2.4 | 2.4 | 2.4 | 2.4 | 2.4 |
Etch 3 | 40 | 0.5 | 0.5 | 0.5 | 0.5 | 0.5 | 0.5 |
Etch 4 | 100 | 4.5 | 4.5 | 4.5 | 2.0 | 1.0 | 2.0 |
Trench Entrance Etch Sequence | Bosch Cycle | ||||||||
---|---|---|---|---|---|---|---|---|---|
Setting | Unit | Strike Up | Etch 1 | Etch 2 | Deposition | Etch 3 | Etch 4 | Etching | Deposition |
Temperature | [] | 25 | 25 | 25 | 25 | 25 | 25 | 25 | 25 |
He BSC pressure | [] | 10 | 10 | 10 | 10 | 10 | 10 | 10 | 10 |
Time | [] | 5.0 | 2.5 | 1.5 | 2.4 | 0.5 | 2.0 | A | 2.4 |
ICP | [] | 1500 | 1600 | 1600 | 1300 | 1600 | 1600 | 1600 | 1300 |
[] | 50 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | |
[] | 0 | 22 | 22 | 0 | 22 | 22 | B | 0 | |
Process pressure | [] | 20 | 40 | 100 | 25 | 40 | 100 | C | 25 |
C4H8 flow | [] | 50 | 10 | 10 | 200 | 10 | 10 | 10 | 200 |
SF6 flow | [] | 0 | 200 | 200 | 10 | 200 | 200 | 200 | 10 |
O2 flow | [] | 50 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |
Etch Cycle Setting | Unit | Values | |
---|---|---|---|
A | Time | [] | 1.50, 1.75, 2.00, 2.50, 3.0, 5.0 |
B | Platen power | [] | 22, 40 |
C | Process pressure | [] | 16, 30, 40 |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2022 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Veltkamp, H.-W.; Janssens, Y.L.; de Boer, M.J.; Zhao, Y.; Wiegerink, R.J.; Tas, N.R.; Lötters, J.C. Method for Keyhole-Free High-Aspect-Ratio Trench Refill by LPCVD. Micromachines 2022, 13, 1908. https://doi.org/10.3390/mi13111908
Veltkamp H-W, Janssens YL, de Boer MJ, Zhao Y, Wiegerink RJ, Tas NR, Lötters JC. Method for Keyhole-Free High-Aspect-Ratio Trench Refill by LPCVD. Micromachines. 2022; 13(11):1908. https://doi.org/10.3390/mi13111908
Chicago/Turabian StyleVeltkamp, Henk-Willem, Yves L. Janssens, Meint J. de Boer, Yiyuan Zhao, Remco J. Wiegerink, Niels R. Tas, and Joost C. Lötters. 2022. "Method for Keyhole-Free High-Aspect-Ratio Trench Refill by LPCVD" Micromachines 13, no. 11: 1908. https://doi.org/10.3390/mi13111908
APA StyleVeltkamp, H.-W., Janssens, Y. L., de Boer, M. J., Zhao, Y., Wiegerink, R. J., Tas, N. R., & Lötters, J. C. (2022). Method for Keyhole-Free High-Aspect-Ratio Trench Refill by LPCVD. Micromachines, 13(11), 1908. https://doi.org/10.3390/mi13111908