Fuzzy-Based Thermal Management Scheme for 3D Chip Multicores with Stacked Caches
Abstract
:1. Introduction
- (1)
- We consider the impact of the power consumption of cores and on-chip caches in parallel in this modeling for the first time.
- (2)
- We propose a fuzzy-based control policy that is based on fuzzy logic and it keeps low design and complexity.
- (3)
- This is the first work that orchestrates the thermal management of cores and dynamic cache reconfiguration at the same time by considering application characteristics.
2. System Model
3. Proposed Thermal Management Scheme
3.1. Dynamic Cache Reconfiguration Scheme
3.2. Fuzzy-Based Control Policy
- (1)
- Input and Output:
- (2)
- The Fuzzy Membership Functions:
- (3)
- The Fuzzy Logic Rules:
- (4)
- Defuzzification of Fuzzy Rule Outputs:
4. Experimental Evaluation
5. Conclusions
Author Contributions
Funding
Acknowledgments
Conflicts of Interest
References
- Burger, D.; Goodman, J.R.; Kagi, A. Limited bandwidth to affect processor design. IEEE Micro 1997, 17, 55–62. [Google Scholar] [CrossRef]
- Kim, C.; Burger, D.; Keckler, S.W. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. ACM SIGARCH Comput. Archit. News 2002, 37, 211–222. [Google Scholar] [CrossRef] [Green Version]
- Kang, K.K.; Kim, J.; Yoo, S.; Kyung, C.M. Temperature-Aware Integrated DVFS and Power Gating for Executing Tasks with Runtime Distribution. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2010, 29, 1381–1394. [Google Scholar] [CrossRef]
- Meng, J.; Kawakami, K.; Coskun, A.K. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints. In Proceedings of the DAC Design Automation Conference 2012, San Francisco, CA, USA, 3–7 June 2012; pp. 648–655. [Google Scholar]
- Zheng, J.; Wu, N.; Zhou, L.; Ye, Y.; Sun, K. DFSB-Based Thermal Management Scheme for 3-D NoC-Bus Architectures. IEEE Trans. Very Large Scale Integr. Syst. 2016, 24, 920–931. [Google Scholar] [CrossRef]
- Zhang, C.; Vahid, F.; Najjar, W.A. A Highly Configurable Cache for Low Energy Embedded Systems. ACM Trans. Embed. Comput. Syst. 2005, 4, 363–387. [Google Scholar] [CrossRef] [Green Version]
- Sun, G.; Wu, X.; Xie, Y. Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. In Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, San Francisco, CA, USA, 19–21 August 2009; pp. 295–298. [Google Scholar]
- Wang, W.; Mishra, P. Leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in real-time systems. Processing of the 2010 23rd International Conference on VLSI Design, Bangalore, India, 3–7 January 2010; pp. 357–362. [Google Scholar]
- Zhou, X.; Yang, J.; Xu, Y.; Zhang, Y.; Zhao, J. Thermal-aware task scheduling for 3D multicore processors. IEEE Trans. Parallel Distrib. Syst. 2010, 21, 60–71. [Google Scholar] [CrossRef]
- Puttaswamy, K.; Loh, G.H. Implementing caches in a 3d technology for high performance processors. In Proceeding of the 2005 International Conference on Computer Design, San Jose, CA, USA, 2–5 October 2005; pp. 525–532. [Google Scholar]
- Zhu, G.; Gu, Z.; Shang, L.; Dick, R.P.; Joseph, R. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2008, 27, 1479–1492. [Google Scholar] [CrossRef] [Green Version]
- Wang, S.; Bettati, R. Reactive speed control in temperature-constrained real-time systems. Real-Time Syst. 2008, 39, 73–95. [Google Scholar] [CrossRef] [Green Version]
- Huang, W.; Ghosh, S.; Velusamy, S.; Sankaranarayanan, K.; Skadron, K.; Stan, M.R. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2006, 14, 501–513. [Google Scholar] [CrossRef]
- Balasubramonian, R.; Kahng, A.B.; Muralimanohar, N.; Shafiee, A.; Srinivas, V. CACTI 7: New Tools for Interconnect Exploration in Innovative Off-Chip Memories. ACM Trans. Archit. Code Optim. (TACO) 2017, 14, 1–25. [Google Scholar] [CrossRef]
- Standard Performance Evaluation Corporation. Available online: http://www.specbench.org (accessed on 3 May 2019).
- Binkert, N.; Beckmann, B.; Black, G.; Reinhardt, S.K.; Saidi, A.; Basu, A.; Hestness, J.; Hower, D. The gem5 simulator. ACM SIGARCH Comput. Archit. News 2011, 39, 1–7. [Google Scholar] [CrossRef]
- Li, S.; Ahn, J.H.; Strong, R.D.; Brockman, J.B.; Tullsen, D.M.; Jouppi, N.P. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, New York, NY, USA, 12–16 December 2009; pp. 469–480. [Google Scholar]
- Kang, K.; Jung, J.; Kyung, C.M. Performance maximization of 3D-stacked cache memory on DVFS-enabled processor. In Proceeding of the 2010 International SoC Design Conference, Seoul, Korea, 22–23 November 2010; pp. 47–50. [Google Scholar] [CrossRef]
- Wang, Y.; Ma, K.; Wang, X. Temperature-constrained power control for chip multiprocessors with online model estimation. In Proceedings of the 36th Annual International Symposium on Computer Architecture, Austin, TX, USA, 20–24 June 2009; pp. 314–324. [Google Scholar]
Algorithm 1: |
---|
|
Input | Output | |
---|---|---|
F | ||
Low | Negative | High |
Low | Zero | High |
Low | Positive | Medium |
Normal | Negative | High |
Normal | Zero | Medium |
Normal | Positive | Low |
High | Negative | Medium |
High | Zero | Low |
High | Positive | Low |
Test Program Set | Benchmarks |
---|---|
Compute-intensive set (com1) | equake, parser, bzip, applu |
Compute-intensive set (com2) | hmmer, mpeg_dec, astar |
Medium set (med1) | mcf, bzip, gcc, sphinx3 |
Medium set (med2) | leslie3d,mlic, omnetpp, calculix |
Memory-intensive set (mem1) | lbm, art, swim, zeusmp |
Memory-intensive set (mem2) | libquantum, lbm, GemsFDTD, art |
© 2020 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
Share and Cite
Shen, L.; Wu, N.; Yan, G. Fuzzy-Based Thermal Management Scheme for 3D Chip Multicores with Stacked Caches. Electronics 2020, 9, 346. https://doi.org/10.3390/electronics9020346
Shen L, Wu N, Yan G. Fuzzy-Based Thermal Management Scheme for 3D Chip Multicores with Stacked Caches. Electronics. 2020; 9(2):346. https://doi.org/10.3390/electronics9020346
Chicago/Turabian StyleShen, Lili, Ning Wu, and Gaizhen Yan. 2020. "Fuzzy-Based Thermal Management Scheme for 3D Chip Multicores with Stacked Caches" Electronics 9, no. 2: 346. https://doi.org/10.3390/electronics9020346
APA StyleShen, L., Wu, N., & Yan, G. (2020). Fuzzy-Based Thermal Management Scheme for 3D Chip Multicores with Stacked Caches. Electronics, 9(2), 346. https://doi.org/10.3390/electronics9020346