Next Article in Journal
Performance Profiling of Embedded ConvNets under Thermal-Aware DVFS
Previous Article in Journal
A Low-Complexity Resource Allocation for Multiple Access Passive IoT System
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Fast-Transient All-Digital LDO with Adaptive Clock Technique

1
Smart sensing R&D center, Institute of microelectronics of Chinese academy of sciences, Beijing 100029, China, [email protected] (Y.Y.)
2
School of Electronic, Electrical and Communication Engineering, University of Chinese academy of sciences, Beijing 100049, China
*
Author to whom correspondence should be addressed.
Electronics 2019, 8(12), 1422; https://doi.org/10.3390/electronics8121422
Submission received: 17 October 2019 / Revised: 8 November 2019 / Accepted: 26 November 2019 / Published: 28 November 2019
(This article belongs to the Section Microelectronics)

Abstract

:
To get a better tradeoff between the transient performance and current efficiency of Digital Low-Dropout (DLDO) regulator, this paper proposes an all-digital Low-Dropout (LDO) regulator with adaptive clock technique. The sample clock is supplied by a proposed digital oscillator (DOSC) whose output frequency can be changed seamlessly. The frequency of sample clock and loop gain boost adaptively when the output voltage undershoot/overshoot is detected. Proposed DLDO integrates a ripple controller to eliminate steady-state supply ripple and reduce steady-state power. The proposed DLDO is simulated at Semiconductor Manufacturing International Corporation (SMIC) 55 nm with 5.03e-4 mm2 active area. The simulation results show that the operating voltage of proposed DLDO can be down to 0.5 V and the peak current efficiency is 99.99%. The measured voltage undershoot is 40 mV and transient response time is 500 ns with load step of 10 to 800 uA.

1. Introduction

Internet of Things (IoT), mobile and medical application have urge the Very Large Scale Integrated circuites (VLSI) designer to build ultra-low power (ULP) circuits [1,2]. Since power consumption deceases quadratically with the drop of supply voltage, low-voltage technology is one of the important means to reduce the power consumption of digital circuits [3,4,5]. As power supply voltages are scaling down to near/sub-threshold, traditional power management faces new challenges [6,7]. A Low-Dropout (LDO) regulator is widely utilized as a post-regulator to provide a clean supply voltage for a system-on–chip (SoC) [8]. Traditional analog LDO (ALDO) cannot operate at very low voltages due to the presence of an analog amplifier [9,10]. Digital LDO has been proposed to solve this problem [11]. Moreover, the DLDO has a better process scalability and the ability of fine-grained power control [12]. Digital LDO replaces the error amplifier in the analog LDO with comparators and shift register (S/R). Figure 1 shows the schematic diagram of analog LDO and digital LDO respectively. DLDO consists of a comparator (CMP), a bi-direction shift register (S/R) and a PMOS array. The comparator compares the output voltage (Vout) with the reference voltage (Vref) and gives a direction signal for S/R. The S/R increases or decreases the number of PMOS turned-on according to the output of the comparator to make the error between Vout and Vref as small as possible. Since only one PMOS is turned on/off per clock cycle, the transient response time of this DLDO is mainly determined by its sample frequency Fs. Increasing Fs can reduce the transient response time, but the negative impact is a larger steady-state power consumption and lower current efficiency. Moreover, traditional DLDOs also have a supply ripple at the steady state.
Several previous works have been proposed to solve those problems. The literature [10] proposes an asynchronous DLDO which significantly reduces the power introduced by the clock and speeds up the transient response of DLDO. However, the asynchronous circuits are complicated, which introduces excessive area overhead and difficulty of synthesizing and timing analyzing. Literature [13] proposed a DLDO based TSPC which has achieved a faster loop time with the penalty of degrading of current efficiency. Literature [14] proposed a DLDO controlled by phase-locked clock, which achieves a fast transient response, but the stacking of power PMOS causes a drop of current efficiency and make it unable to work at very low voltages. A DLDO combined coarse-tuning and fine-tuning (CFT) proposed by [15] makes a good tradeoff between power consumption and transient response time, but it needs two clock, which make a large area overhead.
This paper proposes a DLDO based on adaptive clock technique which achieves a fast transient response with low supply ripple and a high current efficiency. The measured voltage undershoot are 55 mV with load steps of 10 to 800 uA with 40 ns edge time. The current efficiency of the proposed DLDO is 99.99% at steady state.

2. Proposed Technique

The block diagram of proposed DLDO is shown as Figure 2. PMOS array is consists of 128 low-threshold PMOS transistors controlled by a bi-direction S/R with tunable shift step. The shift step of S/R determines the loop gain of DLDO. The resistor ladder provides five different reference voltages to comparators for undershoot/overshoot detecting. The schematic of comparator is shown as Figure 2b. The resistor ladder together with comparator act like an analog-digital convertor (ADC). The 5-bit output RCMP of the comparator reflects the difference between the output voltage Vout and the reference voltage Vref. The sample clock is supplied by a ring oscillator whose output frequency is digitally controllable (DOSC). RCMP controls the shift step of S/R and the output frequency of DOSC. That is when undershoot/overshoot of output voltage is detected, the loop gain and sample clock increase adaptively for a fast transient response. Table 1 shows the S/R shift step and the output frequency of DOSC corresponding to different RCMP values. Traditional DLDO also suffer from supply ripple and large consumption at steady state, just as shown in Figure 3. In order to solve those problems, we integrates a ripple controller into the design. Figure 4 shows the structure of ripple controller. When the output voltage reaches the steady state, that is, the output voltage oscillates around Vref, the ripple controller detects this fluctuation and generates a clock gating signal PCK_E to gated the clock of S/R by integrated clock gating cell (ICG). The supply ripple is eliminated and power consumption is reduced at the steady-state. The DOSC provides a variable sample clock for this design. Its structure is shown in Figure 2c. An odd number of inverters are connected end to end to form a ring oscillator. Similar to the current starved oscillator, the ring oscillator is powered by three parallel PMOS and NMOS devices with different sizes. The output frequency of DOSC can change seamlessly depending on the value of the FCTR. The FCTR is obtained by simple decoding (DEC) of RCMP. The truth table of DEC is shown in Table 2. When the difference between Vout and Vref increases, the sampling clock frequency generated by the DOSC also increases, causing the output voltage to reach reference voltage rapidly.

3. Design Consideration

The Value of ΔV

Resistance ladder generates 5 reference voltages, Vref, Vref ± ΔV, Vref ± 2ΔV. The value of ΔV can greatly affects the performance of DLDO. If the value of ΔV is too small, it may cause a large fluctuation in the output voltage Vout. Conversely, if the value of ΔV is too large, the transient response time will be longer. Therefore, the value of ΔV should avoid the output voltage from oscillating, which should satisfy the following conditions.
ΔV ≥ max{ΔVstep}
where the ΔVstep is the variation in output voltage caused by turning on or off a PMOS. For this design, the ΔV is set to 10 mV according to results of simulation by HSPICE.

4. Experiments

We have simulated proposed DLDO in SMIC 55 nm by HSPICE. The simulation results are shown as Figure 5. It shows the drop of output voltage Vout when the load current is changed from 10 to 800 uA at a transition time of 40 ns. When the comparator detects the drop of the output voltage, clock frequency and loop gain increase adaptively to accelerate the output voltage into a steady state. After entering the steady state, the ripple controller asserts clock gating signal to turns off the clock of the shift register, reducing the steady state power consumption and supply ripple. The simulation results of load regulation from maximum load 1 mA to minimum load 100 uA at a transition time 1ns is shown in Figure 6. The maximum overshoot voltage is 25 mV. Figure 7 shows simulation results of line regulation from 0.5 to 0.6 V Vin at a trasition time 500 ns. The output Vout has a ripple of 50 mV. Figure 8 shows the simulation results from steady state to tracking state when Vref is changed. The tracking time is 800 ns when Vref change from 0.45 to 0.5 V.
In Table 3, The quiescent current of resistor ladder and comparators is presented.
In Table 4, we present the comparison between several representative DLDOs. Compared with other DLDO, proposed DLDO have better figure of merit (FOM), which is 0.17.

5. Conclusions

This paper proposed an all-digital LDO with adaptive clock technique. The sample clock is supplied by an all-digital ring oscillator with a tunable output clock frequency. When output voltage undershoot/overshoot occurs, the sample clock frequency and loop gain are increased adaptively to make the proposed DLDO has better transient response. The proposed DLDO is simulated at SMIC 55 nm with 5.03 e-4 mm2 active area. The simulation results shows that the operating voltage of proposed DLDO can be down to 0.5 V and the peak current efficiency is 99.99%. The transient response time is 500 ns with load step of 10 to 800 uA. The implementation of all-digital ensures that proposed DLDO can be easily transplanted between different processes.

Author Contributions

Conceptualization, Y.Y.; Methodology, Y.Y. and J.Y.; Validation, Y.Y.; Formal analysis, Y.Y. and J.Y.; Investigation, Y.Y.; Writing—original draft preparation, Y.Y.; Writing—review and editing, J.Y., S.Q. and Y.H.

Funding

This research was funded by National Natural Science Foundation of China, grant number 61474135.

Acknowledgments

The authors would like to thank the National Natural Science Foundation of China for their support.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Myers, J.; Savanth, A.; Prabhat, P.; Gaddh, R.; Toh, S.O.; Flynn, D. A 12.4 pJ/Cycle Sub-Threshold, 16 pJ/Cycle Near-Threshold ARM Cortex-M0+ MCU with Autonomous SRPG/DVFS and Temperature Tracking Clocks. In Proceedings of the 2017 Symposium on VLSI Circuits, Kyoto, Japan, 5–8 June 2017; IEEE: Piscataway, NJ, USA; pp. C332–C333. [Google Scholar]
  2. ur Rahman, F.; Kim, S.; John, N.; Kumar, R.; Li, X.; Pamula, R.; Bowman, K.A.; Sathe, V.S. A Unified Clock and Switched-Capacitor-Based Power Delivery Architecture for Variation Tolerance in Low-Voltage SoC Domains. IEEE J. Solid State Circuits 2019, 54, 1173–1184. [Google Scholar] [CrossRef]
  3. Bowman, K.A. Adaptive and Resilient Circuits: A Tutorial on Improving Processor Performance, Energy Efficiency, and Yield via Dynamic Variation. IEEE Solid State Circuits Mag. 2018, 10, 16–25. [Google Scholar] [CrossRef]
  4. Chang, K.-C.; Luo, S.-C.; Huang, C.-J.; Peng, J.-H.; Chu, Y.-H. MORAS: An Energy-Scalable System Using Adaptive Voltage Scaling. In Proceedings of the 2018 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, 16–19 April 2018; IEEE: Hsinchu, Taiwan; pp. 1–4. [Google Scholar]
  5. Yang, W.-B.; Lin, Y.-Y.; Lo, Y.-L. Design of Fast-Locked Digitally Controlled Low-Dropout Regulator for Ultra-low Voltage Input. Circuits Syst. Signal Process. 2017, 36, 5041–5061. [Google Scholar] [CrossRef]
  6. Shin, I.; Kim, J.-J.; Lin, Y.-S.; Shin, Y. One-Cycle Correction of Timing Errors in Pipelines with Standard Clocked Elements. IEEE Trans. Very Large Scale Integr. VLSI Syst. 2016, 24, 600–612. [Google Scholar] [CrossRef]
  7. Roy, A.; Calhoun, B.H. Exploring Circuit Robustness to Power Supply Variation in Low-Voltage Latch and Register-Based Digital Systems. In Proceedings of the 2016 IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, QC, Canada, 22–25 May 2016; IEEE: Piscataway, NJ, USA; pp. 273–276. [Google Scholar]
  8. Lim, C.; Mandal, D.; Bakkaloglu, B.; Kiaei, S. A 50-mA 99.2% Peak Current Efficiency, 250-ns Settling Time Digital Low-Dropout Regulator with Transient Enhanced PI Controller. IEEE Trans. Very Large Scale Integr. VLSI Syst. 2017, 25, 2360–2370. [Google Scholar] [CrossRef]
  9. Nasir, S.; Gangopadhyay, S.; Raychowdhury, A. All-Digital Low-Dropout Regulator with Adaptive Control and Reduced Dynamic Stability for Digital Load Circuits. IEEE Trans. Power Electron. 2016, 31, 8293–8302. [Google Scholar] [CrossRef]
  10. Lee, Y.-H.; Peng, S.-Y.; Chiu, C.-C.; Wu, A.C.-H.; Chen, K.-H.; Lin, Y.-H.; Wang, S.-W.; Tsai, T.-Y.; Huang, C.-C.; Lee, C.-C. A Low Quiescent Current Asynchronous Digital-LDO with PLL-Modulated Fast-DVS Power Management in 40 nm SoC for MIPS Performance Improvement. IEEE J. Solid State Circuits 2013, 48, 1018–1030. [Google Scholar] [CrossRef]
  11. Okuma, Y.; Ishida, K.; Ryu, Y.; Zhang, X.; Chen, P.H.; Watanabe, K.; Takamiya, M.; Sakurai, T. 0.5-V Input Digital LDO with 98.7% Current Efficiency and 2.7-µA Quiescent Current in 65nm CMOS. In Proceedings of the IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, 19–22 September 2010; IEEE: San Jose, CA, USA, 2010; pp. 1–4. [Google Scholar]
  12. Ramadass, Y.; Fayed, A.; Haroun, B.; Chandrakasan, A. A 0.16 mm2 Completely On-Chip Switched-Capacitor DC-DC Converter using Digital Capacitance Modulation for LDO Replacement in 45 nm CMOS. In Proceedings of the 2010 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 7–11 February 2010; IEEE: San Francisco, CA, USA, 2010; pp. 208–209. [Google Scholar]
  13. Zhao, L.; Lu, Y.; Martins, R.P. A Digital LDO With Co-SA Logics and TSPC Dynamic Latches for Fast Transient Response. IEEE Solid State Circuits Lett. 2018, 1, 154–157. [Google Scholar] [CrossRef]
  14. Gangopadhyay, S.; Somasekhar, D.; Tschanz, J.W.; Raychowdhury, A. A 32 nm Embedded, Fully-Digital, Phase-Locked Low Dropout Regulator for Fine Grained Power Management in Digital Circuits. IEEE J. Solid State Circuits 2014, 49, 2684–2693. [Google Scholar] [CrossRef]
  15. Huang, M.; Lu, Y.; Sin, S.-W.; Seng-Pan, U.; Martins, R.P. A Fully Integrated Digital LDO with Coarse–Fine-Tuning and Burst-Mode Operation. IEEE Trans. Circuits Syst. II Express Briefs 2016, 63, 683–687. [Google Scholar] [CrossRef]
  16. Kundu, S.; Liu, M.; Wong, R.; Wen, S.-J.; Kim, C.H. A Fully Integrated 40pF Output Capacitor Beat-Frequency-Quantizer-Based Digital LDO with Built-In Adaptive Sampling and Active Voltage Positioning. In Proceedings of the 2018 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 11–15 February 2010; IEEE: San Francisco, CA, USA, 2018; pp. 308–310. [Google Scholar]
Figure 1. (a) traditional analog Low-Dropout (LDO) regulator, (b) basic diagram of DLDO.
Figure 1. (a) traditional analog Low-Dropout (LDO) regulator, (b) basic diagram of DLDO.
Electronics 08 01422 g001
Figure 2. Structure of proposed DLDO. (a) The block diagram of proposed DLDO, (b) the structure of comparator, (c) the structure of Digital Oscillator(DOSC).
Figure 2. Structure of proposed DLDO. (a) The block diagram of proposed DLDO, (b) the structure of comparator, (c) the structure of Digital Oscillator(DOSC).
Electronics 08 01422 g002
Figure 3. Steady-state supply ripple of traditional DLDO.
Figure 3. Steady-state supply ripple of traditional DLDO.
Electronics 08 01422 g003
Figure 4. Structure of ripple controller.
Figure 4. Structure of ripple controller.
Electronics 08 01422 g004
Figure 5. Simulation results of proposed DLDO.
Figure 5. Simulation results of proposed DLDO.
Electronics 08 01422 g005
Figure 6. Simulation results of load regulation from maximum load to minimal load.
Figure 6. Simulation results of load regulation from maximum load to minimal load.
Electronics 08 01422 g006
Figure 7. Simulation results of line regulation from 0.5 to 0.6 V Vin.
Figure 7. Simulation results of line regulation from 0.5 to 0.6 V Vin.
Electronics 08 01422 g007
Figure 8. Simulation results from steady state to tracking state.
Figure 8. Simulation results from steady state to tracking state.
Electronics 08 01422 g008
Table 1. The shift step of S/R and frequency of DOSC corresponding to different RCMP.
Table 1. The shift step of S/R and frequency of DOSC corresponding to different RCMP.
RCMPShift Step of S/RFreq. of DOSC
00000312~350 MHz
0000129~200 MHz
0001116~100 MHz
0011116~100 MHz
0111129~200 MHz
11111312~350 MHz
Table 2. The truth table of DEC.
Table 2. The truth table of DEC.
RCMPFCTR
00000000
00001100
00011110
00111110
01111100
11111000
others110
Table 3. The quiescent current of the resistor ladder and comparators.
Table 3. The quiescent current of the resistor ladder and comparators.
Resistor LadderComparators
1 uA @0.5 V0.62 uA @0.5 V
Table 4. Comparison with other works.
Table 4. Comparison with other works.
This Work[13][15][16]
Process55 nm28 nm65 nm65 nm
Area (mm2)0.0005030.0190.010.0374
Controllerquantized controller with a dead zonequantized controller with a dead zonequantized controller with a dead zonequantized controller
StructureAdaptive clockS/R+TSPCCFTVCO based ADC
Loop bandwidth control mechanismFine-grained Fs scaling, Clock gatingFs fixedCoarse-grained Fs scalingCoarse-grained Fs scaling
SynthesizableYesNoYesNo
Minimum VIN (V)0.50.60.60.6
Nominal VOUT (V)0.450.550.40.4
Max.ILOAD (mA)125100100
COUT (nF)0.0001nF0.1510.04nF
Min.IQ (uA)2.32882100
Peak current efficiency 99.99%99.96%99.92%99.5%
FOM * (ps) 0.170.590.40.19
* FOM = C × Δ V OUT Δ I LOAD × I Q Δ I LOAD Smaller FOM are better.

Share and Cite

MDPI and ACS Style

Yu, Y.; Yuan, J.; Qiao, S.; Hei, Y. A Fast-Transient All-Digital LDO with Adaptive Clock Technique. Electronics 2019, 8, 1422. https://doi.org/10.3390/electronics8121422

AMA Style

Yu Y, Yuan J, Qiao S, Hei Y. A Fast-Transient All-Digital LDO with Adaptive Clock Technique. Electronics. 2019; 8(12):1422. https://doi.org/10.3390/electronics8121422

Chicago/Turabian Style

Yu, Yi, Jia Yuan, Shushan Qiao, and Yong Hei. 2019. "A Fast-Transient All-Digital LDO with Adaptive Clock Technique" Electronics 8, no. 12: 1422. https://doi.org/10.3390/electronics8121422

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop