Next Article in Journal
One-Dimensional Nanoscale Si/Co Based on Layered Double Hydroxides towards Electrochemical Supercapacitor Electrodes
Next Article in Special Issue
Monolithic Integration of O-Band InAs Quantum Dot Lasers with Engineered GaAs Virtual Substrate Based on Silicon
Previous Article in Journal
Exploring the Influence of Synthesis Parameters on the Optical Properties for Various CeO2 NPs
Previous Article in Special Issue
Growth and Strain Modulation of GeSn Alloys for Photonic and Electronic Applications
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Investigation of the Integration of Strained Ge Channel with Si-Based FinFETs

1
Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
2
School of Integrated Circuits, University of Chinese Academy of Sciences, Beijing 100029, China
3
Beijing Superstring Academy of Memory Technology, Beijing 100176, China
4
Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China
*
Authors to whom correspondence should be addressed.
Nanomaterials 2022, 12(9), 1403; https://doi.org/10.3390/nano12091403
Submission received: 19 March 2022 / Revised: 10 April 2022 / Accepted: 11 April 2022 / Published: 19 April 2022
(This article belongs to the Special Issue Silicon-Based Nanostructures: Fabrication and Characterization)

Abstract

:
In this manuscript, the integration of a strained Ge channel with Si-based FinFETs was investigated. The main focus was the preparation of high-aspect-ratio (AR) fin structures, appropriate etching topography and the growth of germanium (Ge) as a channel material with a highly compressive strain. Two etching methods, the wet etching and in situ HCl dry etching methods, were studied to achieve a better etching topography. In addition, the selective epitaxial growth of Ge material was performed on a patterned substrate using reduced pressure chemical vapor deposition. The results show that a V-shaped structure formed at the bottom of the dummy Si-fins using the wet etching method, which is beneficial to the suppression of dislocations. In addition, compressive strain was introduced to the Ge channel after the Ge selective epitaxial growth, which benefits the pMOS transport characteristics. The pattern dependency of the Ge growth over the patterned wafer was measured, and the solutions for uniform epitaxy are discussed.

1. Introduction

The miniaturization of devices has been evolving in accordance with the proportional scaling principle proposed by R. Dennard [1]. However, the development of nano-sized devices is hindered by physical limits. Conventional Si-based devices encounter a bottleneck as the pattern keeps shrinking, which has further impact on the reinforcement of the on-state saturation current. To continue the downscaling of technology, previously raised drawbacks, such as parasitic effects, quantum effects, short-channel effects in MOSFETs, the weakening control ability of gate voltage on the carrier concentration of the channel inversion layer and the stringent process requirements, have to be addressed in manufacturing [2,3].
A fin field-effect transistor (FinFET) structure has been proposed to overcome the aforementioned drawbacks. The number of gates in FinFETs can be increased from single to multiple; this helps with the strengthening and coupling between gates, which leads to a better control of carrier transport through the channel. The gate leakage current can be suppressed by SiO2 between the gate and the fin [4]. In these transistors, a fully depleted channel and a superior sub-threshold slope performance can be achieved [5,6]. In order to fix the issue of source/drain tunneling, a deep implantation step is sometimes carried out to define the isolation region before the formation of the fin, and the fluctuation of fin height may lead to local isolation failure. Compared with planar devices, FinFETs are also compatible with the complementary metal–oxide–semiconductor (CMOS) process platform, reducing power consumption and improving switching speed without a substantial rise in cost [7,8,9]. Moreover, their vertical design contributes to an integration boost. In this regard, gate-all-around nanowire (VGAANWs or HGAANWs) transistors are designed for 3 nm CMOS nodes [10,11,12,13,14,15,16,17]. However, GeSi/Si multi-layers need to be grown where GeSi is selectively etched [18,19,20] to obtain a 3 nm channel. Monica et al. prepared nanowire-based transistors with state-of-the-art transconductance and electron mobility, and they implemented atomically smooth, mono-crystalline electronic and photonic circuits [21].
However, equivalent scaling can be realized by increasing carrier mobility for a larger driving current without tuning the gate length of the device. As semiconductor technology is under the projection of ITRS, [22] continues to push forward to nodes below 10 nm, as well as the integration of novel channel materials; e.g., Ge, SiGe and Ⅲ–Ⅴ are under study and promoted in addition to the design complexity of devices. The electron mobility of Ⅲ–Ⅴ compound semiconductor materials is exceedingly high, but their hole mobility is not satisfactory for CMOS circuits [23,24]. In contrast, the hole mobility of Ge, which belongs to group IV elements, is notably higher than that of Si, and there are many similarities between the chemical and physical properties of these two materials, thus making process compatibility extremely convenient. In strained Ge, when Ge atoms match with relaxed substrate materials, they form a compressive strain that is beneficial for hole mobility in general [25,26,27]. The structure of FinFETs is shaped by height, width and sidewall profiles, which is crucial for the strain amount. As a 2D planar channel is transformed into a 3D fin scheme, the critical dimension (CD) of the (110) plane is contracted, but two (110) planes are generated and assist in boosting the channel mobility entirely. If strained Ge is integrated as the channel material, a remarkable gain in the mobility of CMOS devices can be achieved [28]. In recent years, studies have proved that epitaxially growing Ge is one of the most efficient ways to implement strain in sub-14 nm node Ge channel p-FinFETs [29,30,31,32]. Additionally, a numerical analysis of transforming Ge into a material with a direct band was also comprehensively investigated in a discussion on strain in heterostructures [33]. Figure 1 displays a schematic of the designed structures in this study. The sidewall geometry is closely related to anisotropic over-etch when the device is processed. It plays an essential role in the quality of the induction of the strain because the growth of material experiences a more sensitive effect on the sidewall than the center place of the fins [34,35,36,37,38].
During the growth of Ge on Si-fins in the epitaxy module, a two-step growth strategy is adopted. Firstly, a very thin layer is introduced at a low temperature to reduce defects. This buffer layer provides extra nucleation centers for dislocations originating from the big lattice mismatch between Si and Ge. This initial layer effectively assists in decreasing the possibility of 3D island accumulation [39,40,41]. The subsequent growth at high temperatures accelerates the rate of defect motion, which ultimately facilitates annihilation during material growth.
One of the problems in the integration of Ge selective epitaxy is pattern dependency, where the profile of the epi-layer varies over the chip due to layout variation. This problem is also observed on larger scales, e.g., chip to chip or even wafer to wafer. As a result, the linearity of CMOS can be affected [35,36,37,38,42].
This work investigated a novel integration of the high aspect ratio process (HARP) and selective heteroepitaxy of Ge with compressive strain in advanced node FinFETs. Two etching methods were employed to achieve a high-aspect-ratio structure, the wet etching method with tetramethylammonium hydroxide (TMAH) and the in situ dry etching method with HCl. The etching morphologies and rates are discussed. High-quality −2.25% compressive-strained Ge on the fin trench was achieved. Furthermore, the pattern dependency of the Ge deposition over an 8 inch wafer was investigated, and a solution for uniform deposition was presented.

2. Experimental Details

All the experiments were performed on p-type Si (001) 200 mm wafers in a reduced pressure chemical vapor deposition (RPCVD) chamber (ASM Epsilon 2000, Almere, The Netherlands). Figure 2 depicts the complete process flow of our experiment. Self-aligned double patterning (SADP) was used to obtain a Si-fin structure with a top width of 20 nm and a height of 110 nm. This process is also known as sidewall transfer lithography (STL) [43,44,45]. Silicon oxide, amorphous silicon (α-Si) and silicon nitride were deposited on the nominal Si substrate in sequence (steps 1–3) using thermal furnace method and plasma-enhanced CVD (PECVD) reactor (D250L, Corial, France), and then the pattern was transferred to the α-Si using photolithography and etching (steps 4–5). The amorphous Si mandrel formed at this stage determined the pitch of the fin. A silicon nitride film with good conformal coverage was deposited on the patterned samples (step 6), and then spacer etching was carried out (step 7). The mandrel made of α-Si was wet etched with TMAH solution, leaving the silicon nitride spacer on both sides of the α-Si to form dummy fins on the surface of the substrate so as to double the density of the patterns. Silicon nitride/silicon oxide spacers of 20 nm width were applied as a hard mask (HM), which was used to etch the silicon below, and fin shapes with different aspect ratios determined by the etch depth and sidewall width were prepared (steps 8–9). Residual silicon nitride and silicon oxide in the head of the dummy fins were removed with hot phosphoric acid (H3PO4) and diluted hydrofluoric acid (DHF), respectively (step 10). Next, a thin oxide layer was deposited on the surface of the Si-fin using rapid thermal processing (RTP), aiming to repair the damage caused by the plasma etching to the dummy fin and to improve the roughness of the surface. This was followed by the shallow trench isolation (STI) module, where 200 nm silicon oxide film was grown (step 12), and chemical mechanical polishing (CMP) was utilized to planarize the topography. Through the combination of dry etching and wet etching with DHF (1/100 HF), the silicon oxide was thinned and recessed, which enabled the top of the fin to be exposed for the ensuing dummy fin etching study (steps 13–14). In the whole process, only one step of optical exposure was taken, supplemented by etching, film deposition and other processes to realize the transfer of patterns, greatly easing the stringent requirements of the equipment.
Taking advantage of the difference in the etch selectivity to silicon oxide and the head of Si exposed on its plane, 25% TMAH solution diluted in 1:10 deionized water at room temperature and in situ HCl dry etching under a high temperature before epitaxy were used separately. In the DTMAH solution with the same concentration, we recorded the etching morphology and rate under corresponding etching times of the previously processed high-aspect-ratio structure. Similarly, the temperature remained stable at 850 ℃, while the input gas flow of HCl (used as etching gas) was kept constant at 2 slm (standard liters per minute). The growth of Ge on the high-aspect-ratio trenches was performed using a two-step method. All samples experienced a standard cleaning procedure prior to epitaxy (SPM followed by APM with DHF at the end) [46]. The load locks were pumped down as soon as they were transferred into the equipment in order to avoid any potential contamination on the wafer surface.
The outstanding feature of the scheme is that the threading dislocations perpendicular to the channel generated in the growth can be guided to the STI sidewall with the help of the facet epitaxially formed in the high-aspect-ratio trench, thereby blocking these defects at its bottom and ensuring that the quality of the channel area is improved. Relying on this aspect ratio trapping (ART) technology, the steps of eliminating epitaxial material defects through additional thermal annealing after conventional epitaxy could be skipped, which offers a larger window for reducing the thermal budget of the whole integration process.
The cross-section of the processed Si-fins was analyzed using a high-resolution scanning electron microscope (HRSEM) to determine any possible damage to the shape of the Si-fins during the manufacturing steps. A transmission electron microscope (TEM) was utilized to investigate the eventual defect situation and lattice distortion in the Ge epi-layers. High-resolution X-ray diffraction (HRXRD) was used to investigate the strain and crystal quality, and energy-dispersive spectroscopy (EDS) was also employed to determine the Ge profile in these samples.

3. Results and Discussion

3.1. High-Aspect-Ratio Fin Structure Formation

With the shrinkage of device dimensions, a narrowed fin width ameliorates the short-channel effect to realize a better electrostatic characteristic, but it is also influenced by the quantum effect and the deterioration of the surface roughness in the channel, leading to a decrease in mobility and the driving current. Moreover, the micro-trench undergoing STI recess will have its height shortened. Additionally, HF wet cleaning to remove native oxide before the selective epitaxy is isotropic results in the loss of sidewall and expands the fin width. One compensation for the above issues is to raise the height of the fin to create a higher, narrower and steeper shape, which broadens the process tolerance and optimizes the performance in the effective current and the effective gate capacitance. When preparing FinFET structures under this STI-first scheme, a dummy Si-fin with a high aspect ratio is needed, the morphology of which has a direct impact on the following etching and epitaxy quality of Ge-fins.
Figure 3 illustrates the Si-fin profile after etching the silicon nitride spacer used as a patterning hard mask (HM). During the etching process, CF4 was used to open the native oxide. The oxygen was ionized into oxygen plasma at a pressure of 10 mTorr after ignition, and then the main etching step was performed. By optimizing parameters such as the etching gas flow, gas composition, etching time and bottom electrode bias, Si-fins with aspect ratios of 3:1 (height ≈ 115 nm and width ≈ 38 nm) and 8:1 (height ≈ 175 nm and width ≈ 23 nm) were obtained, as depicted in Figure 3a,b, respectively. As shown in Figure 3, Si-fins with a high aspect ratio, a flat and steep topography and a restrained footing figuration at the bottom were prepared. The significantly increased effective gate area is mainly contributed to by fin height, and, therefore, fin pitch and the contacted gate pitch in each standard cell unit occupied an extended miniaturization space for transistors.

3.2. Dummy Fin Removal: Wet Etching and In Situ Dry Etching

Wet cleaning with H3PO4 and DHF was carried out successively to remove the silicon oxide and the silicon used as a HM on the top of the Si-fins, as well as the residual polymers produced by dry etching. Next, RTP was performed to realize the thermal treatment, which not only repairs the damage to the fin that originated from the previous etching but also makes the Si head round to avoid point discharge. Another point is that rapid thermal oxidation could form a thin capping layer of silicon oxide (~30 Å) on the Si surface, which serves as the seed layer for STI filling, offering better conformal coverage. STI filling in HARP was implemented by employing sub-atmospheric chemical vapor deposition, using the reaction of tetraethyl orthosilicate (TEOS) and O3 in a large flow to deposit silicon oxide with a thickness of 3000 Å. The STI oxide was thinned by employing Ar+ sputtering, where the argon gas was ionized with glow discharging, and then bias voltage was applied to accelerate these ions to planarize the surface. Figure 3c shows the topography after the removal of HM, linear oxidation, STI deposition and Ar+ dry etching of the structure shown in Figure 3b. RTP, in addition to measurement variations, may lead to slight deviations in the height and width, which does not affect the discussion. After polishing, annealing at 1050 ℃ for 30 min in N2 atmosphere was supplemented to alleviate the lattice damage in the shallow surface and to lower the risk of leakage caused by the dissociation of the oxide layer. However, the controllability of DHF on the corrosion rate to STI can be enhanced after densification, and insulation failure due to the excessive loss of dielectric is also avoided. Annealing at a high temperature in this step prevents harm to followed up Ge-fins and the negative effect of Ge on densification, which makes such integration methods more desirable. In our experiments, the annealed samples were rinsed for 45 s with HF diluted at a ratio of 1:100 (DHF) to expose the Si head of the dummy fin for further etching processes. It is necessary to note that the rinsing time should be carefully chosen to restrain the undue consumption of STI; otherwise, it may cause the loss of the aspect ratio.
Figure 4a exhibits a cross-sectional SEM view of the dummy fin. It can be seen that an ideal Si-fin has a height of 118 nm and a width of 37 nm. The top of the fin was exposed through the modified DHF rinsing. Both the in situ HCl dry etching before the growth of channel material in RPCVD and DTMAH wet etching are capable of corroding Si-fins in STI. The heteroepitaxy of Ge is directly coupled to the etched substrate, and the growth quality is intensely related to the recess profiles.
After the sample was transferred into the reactor, the temperature was kept at 850 ℃, while the HCl partial pressure was kept constant at 2 mtorr. The dry-etched Si-fin morphologies with different etching times are displayed in Figure 4. It was found that the designed Si-fin with a height of 110 nm (AR = 3:1) was completely removed after 60 s, and the topography showed a trapezoidal shape, which is the same as that of the dummy fin at the start due to the superior selectivity of HCl dry etching. When the time was prolonged to 90 s, the Si-fin in the trench was completely etched to the foot (etch depth ≈ 135 nm); however, the HCl continued to act, rounding the bottom as shown in Figure 4b. If the duration is further increased, taking 120 s as an example, an undercut could occur for Si in all directions, which is unacceptable because it is detrimental to the epitaxy, and the isolation will be spoiled (etch depth ≈ 148 nm and etch length ≈ 292 nm in the (110) direction). The etching rate and the generation of defects are highly sensitive to the structure in dry etching, and the loading effect may introduce multiple facets with diversified crystal planes at the bottom of the trench. A minor change in the shape will trigger obvious fluctuations in the etching performance, especially for those with small sizes and a high aspect ratio.
Figure 5 displays the evolution of the morphology of the samples etched using DTMAH at different times. Specimens with the same structures as those used in previous experiments were immersed in 2.5% DTMAH solution at room temperature, and the chemical reaction of Si in DTMAH can be described as Equations (1) and (2).
Si + 2 OH Si ( OH ) 2 2 + + 4 e
Si ( OH ) 2 2 + + 4 e + 4 H 2 O Si ( OH ) 6 2 + 2 H 2
Figure 5a presents the pre-etched Si-fin. It is clearly shown that a V shape is formed as the etching time gradually increases from 30 s to 300 s in Figure 5a–f. This is due to the difference in the etching rate of TMAH anisotropic corrosion on Si (001) and (111) directions. In the beginning, the Si (001) direction dominates the etching, and the corrosion extends along <001>, which is perpendicular to the substrate. The V-shaped geometry is initiated within 30 s until the sidewall boundary of STI is eroded, and then the (111) plane is turned to guide the etching as the dummy fin is consumed. Compared with the flat-bottomed structure engendered by HCl, the V shape formed by DTMAH is beneficial to the suppression of dislocations due to the lattice mismatch in epitaxy. The etching rates of these two methods with different etching times are listed in Table 1 as a reference.
Although the heterogeneous preparation of Ge or SiGe on Si will produce more defects on the (111) plane than on (001), these uniformly distributed defects are parallel to (111) of the trench, indicating that they would eventually be captured by the sidewalls in STI instead of propagating to the top of the material, which paves the way for denser integration with smaller devices. Additionally, fewer defects appear on (111) than on (001) for Ⅲ–Ⅴ group materials, and the V shape boosts the growth quality for both group IV and group Ⅲ–Ⅴ materials, which, in turn, holds the potential to promote the integration of n-type and p-type devices with different channel bases.

3.3. Ge Selective Epitaxy

Selective epitaxy is an attractive deposition technique for device application, and it is especially aimed at source/drain ultra-shallow junctions [47,48,49]. The deposition of Ge on Si-fins is not only a very critical step, but it is also a sensitive step in STI-first technology. The layer quality may be degraded by any undesired residual species (after the etching step) or native oxide. Thus, ex and in situ cleaning are important steps prior to epitaxy. The aspect ratio and the overall size of STI structures have gone a step further, and the issue to be overcome in Ge filling is the aggravation that goes with it. Pattern dependency was a major concern as we conducted the selective epitaxy of Ge [50,51]. Kinetic models and experimental explorations have been reported in various publications, but an effective method that is capable of completely eliminating pattern dependency has not been demonstrated to date [52,53,54,55]. As shown in Figure 6a–c, three sites were selected from the center to the edge of the wafer after Ge growth. It can be seen in Figure 6 that the height and width of site 1, which is located in the center, are slightly narrowed. The size of the Ge mushroom increased gradually from the center to the edge, which signifies that the growth rate also had a modest rise due to gas kinetics and non-linear gas consumption over the patterned wafer. The dimensions of the two outer parts were close, and the overall uniformity was good. In the following discussion, sample quality is characterized and analyzed.
The main reason behind the pattern dependency is the gas consumption over the chip. Therefore, the exposed Si area to total chip area (or Si coverage) has a crucial role in gas consumption and has to be kept constant for a uniform deposition. Figure 7 shows the chip layout of a die over the 8 inch wafer. The Si coverage was only 1% in our experiments. This means that kinetic growth is very vulnerable to Si coverage, which becomes smaller at the edge of the wafer. In order to keep a uniform epitaxy profile, the Si coverage has to be compensated by 1% using dummy strips preferably at the edge of the die as shown in Figure 7. The area and the number of strips depend on the actual extra oxide area.
Another key point is that the introduction of a high amount of HCl assists in decreasing the pattern dependency in selective epitaxy. This idea is used to furnish a uniform deposition over the whole wafer by lowering the lateral flow over the oxide surface toward the fin regions [56,57]. Unfortunately, such a solution leads to a low growth rate.
A two-step procedure was carried out to prepare the Ge channel. First, a low-temperature nucleation layer (buffer layer) was grown at 400 ℃ under 100 Torr for 60 s to alleviate the dislocations introduced by lattice mismatch. Benefiting from the distinctive advantage of the V shape for defects filtering on the (111) facet by DTMAH recessing, dislocations were confined to the interfaces of the bottom area. Then, the temperature was increased to 650 ℃ under the same pressure for the 60 s Ge deposition. As soon as the growth finished, the samples were baked at 850 ℃ for 180 s in the chamber. HCl was imported during the whole epitaxy to ensure high growth selectivity for the polycrystalline Ge on the silicon oxide as shown in Figure 8a and to avoid film accumulation to maintain a clean quartz chamber. Figure 8b shows the EDS analysis at the region where the growth of Ge is completed. The results indicate that the boundary of each structure reached an agreement with the design, and there was no evident diffusion of elements. Thus, this is an effective way to integrate a high aspect ratio of Ge channel material into advanced FinFETs using DTMAH etching followed by the Ge selective epitaxy process.
ART works on the processed high aspect ratio of STI to annihilate the threading dislocations caused by the mismatch in heterojunctions, such as Ge/Si in our case, at the lower part of the fin, thereby guaranteeing that the head of the fin as the carrier channel will not be dragged by the defects, as illustrated in Figure 9. More specifically, the growth rate of heteroepitaxial material varies in different directions, so the crystal facet inclined to the substrate in relative could be formed in the period of the growth (Ge ( 1 1 ¯ 1 ) and ( 1 1 ¯ 3 ) as an example). As Ge precipitates, the vertical plane in the trench is depleted by the facet, and the stretching direction of the threading dislocation at the interface is a function of the Burgers vector and the material growth direction, which is almost perpendicular to the growth crystal plane for the most part. Zoomed in images of the red frame in Figure 9a are presented in Figure 9b–d. In Figure 9c,d, it is vividly shown that the defects spread along the (111) direction around the interface between the Si substrate, and Ge is blocked when encountered with the silicon oxide standing on both sides of the boundary. Additionally, no other severe defects were found after the inspection by TEM, revealing a high-quality Ge selective heteroepitaxy based on Si.
To unveil the strain state in the heterogeneously prepared Ge channel, the electron diffraction pattern of TEM was conducted. Figure 10 depicts the selected area electron diffraction (SAED) patterns of sites 1, 2 and 3 marked in red. Formula (3) was used to calculate the lattice spacing d in different parts of the Ge channel as follows:
Rd = Lλ
where R is the length of the camera, λ is the wavelength of the incident light, and L is the spacing of the crystal planes in accordance with the diffraction bands. The d-values of the three sites are shown in the tables. Afterward, the lattice constant a can be easily inferred on the basis of the different lattice spacings, d1, d2 and d3. The lattice constant a of sites 1, 2 and 3 are 5.6501 Å, 5.6473 Å and 5.6138 Å, respectively. Compared with the lattice constant of the standard bulk Ge, namely, 5.6578 Å, the strains over the fin top, in the middle part and in the bottom part as shown in the selectively grown Ge are −0.34%, −0.49% and −2.25%, respectively. This outcome verifies that the upper part of Ge possesses a compressive strain. The compressive strain gradually releases with the increase in Ge thickness along the (001) direction through the whole trench from the bottom to the top, and the prominent strain is observed at the foot.
The strain originating from the lattice mismatch is considered to achieve full relaxation by misfit dislocations that nucleate at the free surface. Generally speaking, the critical thickness (about 1 nm) of Ge grown on Si and the huge difference in the thermal expansion coefficient between Ge and Si together with STI oxide in the lateral direction constitute a source of the strain. The thermal coefficient of Ge (5.8 × 10−6 K−1) is much higher than those of SiO2 (0.5 × 10−6 K−1) and Si (2.6 × 10−6 K−1); the volume change of Ge is subsequently more than that of the other components in the structure when cooled from the post-growth baking temperature of 1123 K to 300 K, especially relative to STI oxide, which accounts for the introduction of strain. ART technology facilitates the limitations of the threading dislocation movement on the glide planes of (111) and (113) by the sidewall in our research, and, thus, the value of compressive strain decreased along the parallel direction to the grooves.
Figure 11a depicts the HRXRD result around (004) of the Ge channel integrated directly on Si, and the full width at half maximum (FWHM) of Ge peaks is about 187 arcsec. In order to further check the strain in this sample, a high-resolution reciprocal lattice map (HRRLM) around the (113) reflection was collected, as shown in Figure 11b. It is revealed that Ge grown on the trench with the V-shaped bottom contains compressive strain for the peaks located on the right side of the fully relaxed boundary. The material characteristics reflected by these X-ray characterizations are consistent with the conclusions deduced from TEM. On the basis that such a core structure and modified process scheme were developed, it is believed that reliable convenience and solid possibility are provided for the integration of the novel device with impressive and powerful characteristics.
For the proposed process in this work, the scalability is not difficult; however, the variability over the wafer warrants further investigation. Both the selective epitaxy and etching suffer from pattern dependency, and any minor change in the chip layout affects the profile. Since the main reason for pattern dependency is the non-uniform consumption of the precursor molecules over the chip, the exposed Si area has to be kept constant. This is especially the issue at the edge of the wafer, where there is less exposed Si area compared to the center of the wafer. We need to compensate the exposed Si area in the chip layout in order to control pattern dependency. Therefore, we believe that our process can be an appropriate candidate for the CMOS process in the near future.

4. Conclusions

We proposed the integration of a strained Ge channel with Si-based FinFET fabrication in which the formation of high-aspect-ratio dummy fins, two etching methods and selective Ge heteroepitaxy are involved. The samples were characterized by SEM, TEM, EDS, HRXRD and HRRLMs to analyze the structure topography processed by various etching conditions, defect propagations and strain distributions of grown Ge. The corresponding corrosion rates and features were summarized. After a series of optimized processes emphasized in the discussions, we reaped dummy Si-fins with a delicate shape with aspect ratios of 3:1 and 8:1. Combined with the 300 s of DTMAH wet etching, high-quality Ge with compressive strain was prepared on V-shaped grooves with a two-step growth method. Furthermore, the Ge growth rate increased for the chips closer to the edge of the wafer. This non-uniformity growth rate is a result of pattern dependency, which can be compensated when exposed dummy Si areas are inserted in the chip closer to the edge. This research establishes meaningful developments and conclusions for the integration of selective epitaxy of pure Ge on the channel of FinFETs.

Author Contributions

Conceptualization, B.X., G.W. and H.H.R.; data curation, Y.D., J.S., B.L. and J.Y.; formal analysis, B.X., Y.D. and Y.W.; funding acquisition, G.W. and H.H.R.; investigation, B.X. and Y.M.; methodology, B.X., Y.D. and H.H.R.; project administration, G.W. and H.H.R.; resources, G.W., Z.K. and H.H.R.; supervision, H.H.R.; writing—original draft, B.X.; writing—review and editing, Y.M., Y.W. and H.H.R. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the construction of the high-level innovation research institute from the Guangdong Greater Bay Area Institute of Integrated Circuit and System (Grant No. 2019B090909006) and the projects of the construction of new research and development institutions (Grant No. 2019B090904015), and in part by the National Key Research and Development Program of China (Grant No. 2016YFA0301701), the Youth Innovation Promotion Association of CAS (Grant No. 2020037) and the National Natural Science Foundation of China (Grant No. 92064002).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data is available on reasonable request from the corresponding author.

Acknowledgments

The pilot line and test lab of ICAC are acknowledged for their support.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Dennard, R.H.; Gaensslen, F.H.; Yu, H.N.; Rideout, V.; Bassous, E.; LeBlanc, A.R. Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circuits 1974, 9, 256–267. [Google Scholar] [CrossRef] [Green Version]
  2. Radamson, H.H.; Luo, J.; Simoen, E.; Zhao, C. CMOS Past, Present and Future; Woodhead Publishing: Cambridge, UK, 2018; ISBN 9780081021392. [Google Scholar]
  3. Radamson, H.H.; Zhu, H.; Wu, Z.; He, X.; Lin, H.; Liu, J.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555. [Google Scholar] [CrossRef] [PubMed]
  4. Hisamoto, D.; Wen-chin, L.; Kedzierski, J.; Takeuchi, H.; Asano, K.; Kuo, C.; Anderson, E.; Jae king, T.; Boker, J.; Chenmin, H. FinFET—A self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Devices 2000, 47, 2320–2325. [Google Scholar]
  5. Wong, H.-S.P.; Chan, K.K.; Taur, Y. Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel. In Proceedings of the IEDM, San Francisco, CA, USA, 10 December 1997; pp. 427–430. [Google Scholar]
  6. Huang, X.J.; Wen-chin, L.; Kuo, C.; Hisamoto, D.; Chang, L.; Kedzierski, J.; Anderson, E.; Takeuchi, H.; Choi, Y.K.; Asano, K.; et al. Sub-50 nm P-channel FinFET. IEEE Trans Electron Devices 2000, 48, 880–886. [Google Scholar] [CrossRef] [Green Version]
  7. Duriez, B.; Vellianitis, G.; Dal, M.V.; Doornbos, G.; Oxland, R.; Bhuwalka, K.K.; Holland, M.; Chang, Y.S.; Hsieh, C.H.; Yin, K.M.; et al. Scaled p-channel Ge FinFET with optimized gate stack and record performance integrated on 300 mm Si wafers. In Proceedings of the IEDM, Washington, DC, USA, 9–11 December 2013; pp. 20.1.1–20.1.4. [Google Scholar]
  8. Gluschenkov, O.; Liu, Z.; Niimi, H.; Mochizuki, S.; Fronheiser, J.; Miao, X.; Li, J.; Demarest, J.; Zhang, C.; Niu, C.; et al. FinFET performance with Si:P and Ge:Group-III-Metal metastable contact trench alloys. In Proceedings of the IEDM, San Francisco, CA, USA, 3–7 December 2016; pp. 17.2.1–17.2.4. [Google Scholar]
  9. Sil, M.; Guin, S.; Nawaz, S.M.; Mallik, A. Performance of Ge p-channel junctionless FinFETs for logic applications. Appl. Phys. A 2019, 125, 782. [Google Scholar] [CrossRef]
  10. Bae, G.; Bae, D.I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3 nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications. In Proceedings of the IEDM, San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar]
  11. Najmzadeh, M.; Bouvet, D.; Grabinski, W.; Sallese, J.M.; Ionescu, A.M. Accumulation-mode gate-all-around Si nanowire nMOSFETs with sub-5 nm cross-section and high uniaxial tensile strain. Solid-State Electron. 2012, 74, 114–120. [Google Scholar] [CrossRef] [Green Version]
  12. Dash, T.P.; Dey, S.; Das, S.; Mohapatra, E.; Jena, J.; Maiti, C.K. Strain-engineering in nanowire field-effect transistors at 3 nm technology node. Phys. E Low-Dimens. Syst. Nanostruct. 2020, 118, 113964. [Google Scholar] [CrossRef]
  13. Thakur, R.R.; Chaturvedi, N. Gate-All-Around GaN Nanowire FET as a Potential Transistor at 5 nm Technology for Low-Power Low-Voltage Applications. Nano 2021, 16, 2150096. [Google Scholar] [CrossRef]
  14. Dey, S.; Jena, J.R.; Mohapatra, E.; Dash, T.P.; Das, S.; Maiti, C.K. Design and simulation of vertically-stacked nanowire transistors at 3 nm technology nodes. Phys. Scr. 2019, 95, 014001. [Google Scholar] [CrossRef]
  15. Yakimets, D.; Eneman, G.; Schuddinck, P.; Bao, T.H.; Bardon, M.G.; Raghavan, P.; Veloso, A.; Collaert, N.; Mercha, A.; Verkest, D.; et al. Vertical GAAFETs for the ultimate CMOS scaling. IEEE Trans. Electron Devices 2015, 62, 1433–1439. [Google Scholar] [CrossRef]
  16. Veloso, A.; Altamirano-Sánchez, E.; Brus, S.; Chan, B.T.; Cupak, M.; Dehan, M.; Delvaux, C.; Devriendt, K.; Eneman, G.; Ercken, M.; et al. Vertical Nanowire FET Integration and Device Aspects. ECS Trans. 2016, 72, 31–42. [Google Scholar] [CrossRef]
  17. Wu, H.; Wu, W.; Si, M.; Peide, D.Y. First demonstration of Ge nanowire CMOS circuits: Lowest SS of 64 mV/dec, highest gmax of 1057 μS/μm in Ge nFETs and highest maximum voltage gain of 54 V/V in Ge CMOS inverters. In Proceedings of the IEDM, Washington, DC, USA, 7–9 December 2015; pp. 2.1.1–2.1.4. [Google Scholar]
  18. Zhang, Y.K.; Ai, X.Z.; Yin, X.; Zhu, H.; Yang, H.; Wang, G.L.; Li, J.J.; Du, A.Y.; Li, C.; Huang, W.X.; et al. Vertical Sandwich GAA FETs with Self-Aligned High-k Metal Gate Made by Quasi Atomic Layer Etching Process. IEEE Trans. Electron Devices 2021, 68, 2604–2610. [Google Scholar] [CrossRef]
  19. Li, C.; Zhu, H.L.; Zhang, Y.K.; Yin, X.; Jia, K.; Li, J.; Wang, G.; Kong, Z.; Du, A.; Yang, T.; et al. Selective Digital Etching of Silicon-Germanium Using Nitric and Hydrofluoric Acids. ACS Appl. Mater. Interfaces 2020, 12, 48170–48178. [Google Scholar] [CrossRef]
  20. Wostyn, K.; Sebaai, F.; Rip, J.; Mertens, H.; Witters, L.; Loo, R.; Hikavyy, A.; Milenin, A.; Horiguichi, N.; Collaert, N.; et al. Selective Etch of Si and SiGe for Gate All-Around Device Architecture. ECS Trans. 2015, 69, 147–152. [Google Scholar] [CrossRef]
  21. Bollani, M.; Salvalaglio, M.; Benali, A.; Bouabdellaoui, M.; Naffouti, M.; Lodari, M.; Corato, S.D.; Fedorov, A.; Voigt, A.; Fraj, I.; et al. Templated dewetting of single-crystal sub-millimeter-long nanowires and on-chip silicon circuits. Nat. Commun. 2019, 10, 5632. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  22. International Roadmap for Devices and Systems 2017 Edition More Moore. Available online: https://irds.ieee.org/images/files/pdf/2017/2017IRDS_MM.pdf (accessed on 30 December 2018).
  23. Lim, S.W. Toward the Surface Preparation of InGaAs for the Future CMOS Integration. Solid State Phenom. 2018, 282, 39–42. [Google Scholar] [CrossRef]
  24. Radamson, H.H.; Thylen, L. Monolithic Nanoscale Photonics Electronics Integration in Silicon and Other Group IV Elements; Elsevier: Amsterdam, The Netherlands; Academic Press: Cambridge, MA, USA, 2014; ISBN 978-012-419-975-0. [Google Scholar]
  25. Thompson, S.E.; Armstrong, M.; Auth, C.; Cea, S.; Chau, R.; Glass, G.; Hoffman, T.; Klaus, J.; Ma, Z.Y.; Mcintyre, B.; et al. A logic nanotechnology featuring strained-silicon. IEEE Trans. Electron Devices 2004, 25, 191–193. [Google Scholar] [CrossRef]
  26. Ye, H.; Yu, J. Germanium epitaxy on silicon. Sci. Technol. Adv. Mater. 2014, 15, 024601. [Google Scholar] [CrossRef] [Green Version]
  27. Du, Y.; Wang, G.; Miao, Y.; Xu, B.; Li, B.; Kong, Z.; Yu, J.; Zhao, X.; Lin, H.; Su, J.; et al. Strain Modulation of Selectively and/or Globally Grown Ge Layers. Nanomaterials 2021, 11, 1421. [Google Scholar] [CrossRef]
  28. Mahmood, A.; Jabbar, W.A.; Hashim, Y.; Manap, H.B. Electrical Characterization of Ge-FinFET Transistor Based on Nanoscale Channel Dimensions. J. Nano-Electron. Phys. 2019, 11, 1. [Google Scholar] [CrossRef]
  29. Eneman, G.; Brunco, D.P.; Witters, L.; Vincent, B.; Favia, P.; Hikavyy, A.; De Keersgieter, A.; Mitard, J.; Loo, R.; Veloso, A.; et al. Stress simulations for optimal group IV p- and nMOS FinFETs for the 14 nm node and beyond. In Proceedings of the IEDM, San Francisco, CA, USA, 10–13 December 2012; pp. 131–134. [Google Scholar]
  30. Eneman, G.; Hellings, G.; De Keersgieter, A.; Collaert, N.; Thean, A. Quantum-barriers and ground-plane isolation: A path for scaling Bulk-FinFET technologies to the 7 nm-node and beyond. In Proceedings of the IEDM, Washington, DC, USA, 9–11 December 2013; pp. 320–323. [Google Scholar]
  31. Van Dal, M.J.H.; Vellianitis, G.; Doornbos, G.; Duriez, B.; Shen, T.M.; Wu, C.C.; Oxland, R.; Bhuwalka, K.; Holland, M.; Lee, T.L.; et al. Demonstration of scaled Ge p-channel FinFETs integrated on Si. In Proceedings of the IEDM, San Francisco, CA, USA, 10–13 December 2012; pp. 521–524. [Google Scholar]
  32. Pei, G.; Kedzierski, J.; Oldiges, P.; Ieong, M.; Kan, E.C.-C. FinFET design considerations based on 3-D simulation and analytical modeling. IEEE Trans. Electron Devices 2002, 49, 1411–1419. [Google Scholar] [CrossRef]
  33. Scopece, D.; Montalenti, F.; Bollani, M.; Chrastina, D.; Bonera, E. Straining Ge bulk and nanomembranes for optoelectronic applications: A systematic numerical analysis. Semicond. Sci. Technol. 2014, 29, 095012.33. [Google Scholar] [CrossRef]
  34. Kolahdouz, M.; Maresca, L.; Ghandi, R.; Khatibi, A.; Radamson, H.H. Kinetic model of SiGe selective epitaxial growth using RPCVD technique. J. Electrochem. Soc. ECS Trans. 2011, 158, 581–593. [Google Scholar] [CrossRef]
  35. Fischer, A.C.; Belova, L.M.; Rikers, Y.G.; Malm, B.G.; Radamson, H.H.; Kolahdouz, M.; Gylfason, K.B.; Stemme, G.; Niklaus, F. 3D Free-Form Patterning of Silicon by Ion Implantation, Silicon Deposition, and Selective Silicon Etching. Adv. Func. Mater. 2012, 22, 4004–4008. [Google Scholar] [CrossRef] [Green Version]
  36. Hartmann, J.; Clavelier, L.; Jahan, C.; Holliger, P.; Rolland, G.; Billon, T.; Defranoux, C. Selective epitaxial growth of boron- and phosphorus-doped Si and SiGe for raised sources and drains. J. Cryst. Growth 2004, 264, 36–47. [Google Scholar] [CrossRef]
  37. Vincent, B.; Witters, L.; Richard, O.; Hikavyy, A.; Bender, H.; Loo, R.; Caymax, M.; Thean, A. Selective growth of strained Ge channel on relaxed SiGe buffer in shallow trench isolation for high mobility Ge planar and FIN p-FET. ECS Trans. 2012, 50, 39–45. [Google Scholar] [CrossRef]
  38. Wang, G.; Abedin, A.; Moeen, M.; Kolahdouz, M.; Luo, J.; Guo, Y.; Chen, T.; Yin, H.X.; Zhu, H.L.; Li, J.F.; et al. Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. Solid-State Electron. 2015, 103, 222–228. [Google Scholar] [CrossRef]
  39. Nayfeh, A.; Chui, C.O.; Saraswat, K.C.; Yonehara, T. Effects of hydrogen annealing on heteroepitaxial-Ge layers on Si: Surface roughness and electrical quality. Appl. Phys. Lett. 2004, 85, 2815–2817. [Google Scholar] [CrossRef] [Green Version]
  40. Miao, Y.-H.; Hu, H.-Y.; Li, X.; Song, J.-J.; Xuan, R.-X.; Zhang, H.-M. Evaluation of threading dislocation density of strained Ge epitaxial layer by high resolution X-ray diffraction. Chin. Phys. B 2017, 26, 127309. [Google Scholar] [CrossRef]
  41. Kim, H.-W.; Shin, K.W.; Lee, G.-D.; Yoon, E. High quality Ge epitaxial layers on Si by ultrahigh vacuum chemical vapor deposition. Thin Solid Films 2009, 517, 3990–3994. [Google Scholar] [CrossRef]
  42. Kolahdouz, M.; Hallstedt, J.; Khatibi, A.; Östling, M.; Wise, R.; Riley, D.J.; Radamson, H. Comprehensive Evaluation and Study of Pattern Dependency Behavior in Selective Epitaxial Growth of B-Doped SiGe Layers. IEEE Trans. Nanotechnol. 2009, 8, 291–297. [Google Scholar] [CrossRef]
  43. Hallstedt, J.; Hellstrm, P.E.; Radamson, H.H. Sidewall transfer lithography for reliable fabrication of nanowires and deca-nanometer MOSFETs. Thin Solid Films 2008, 517, 117–120. [Google Scholar] [CrossRef]
  44. Weng, C.J. Feasible approach for processes integration of CMOS transistor gate/side-wall spacer patterning fabrication. Microelectron. Reliab. 2010, 50, 1951–1960. [Google Scholar] [CrossRef]
  45. Choi, Y.K.; King, T.J.; Hu, C.M. A spacer patterning technology for nanoscale CMOS. IEEE Trans. Electron Devices 2002, 49, 436–441. [Google Scholar] [CrossRef] [Green Version]
  46. Wang, G.; Kolahdouz, M.; Luo, J.; Qin, C.; Gu, S.; Kong, Z.; Yin, X.; Xiong, W.; Zhao, X.; Liu, J.; et al. Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS). J. Mater. Sci. Mater. Electron. 2019, 31, 26–33. [Google Scholar] [CrossRef]
  47. Radamson, H.H.; Kolahdouz, M. Selective epitaxy growth of Si1xGex layers for MOSFETs and FinFETs. J. Mater. Sci. Mater. Electron. 2015, 2, 4584–4603. [Google Scholar] [CrossRef]
  48. Menon, C.; Lindgren, A.C.; Persson, P.; Hultman, L.; Radamson, H.H. Selective epitaxy of Si1-xGex layers for complementary metal oxide semiconductor applications. J. Electrochem. Soc. 2009, 150, G253–G257. [Google Scholar] [CrossRef]
  49. Hallstedt, J.; Isheden, C.; Oestling, M.; Baubinas, R.; Matukas, J.; Palenskis, V.; Radamson, H.H. Application of selective epitaxy for formation of ultra shallow SiGe-based junctions. Mater. Sci. Eng. B 2004, 114–115, 180–183. [Google Scholar] [CrossRef]
  50. Kolahdouz, M.; Maresca, L.; Ostling, M.; Riley, D.; Wise, R.; Radamson, H.H. New method to calibrate the pattern dependency of selective epitaxy of SiGe layers. Solid State Electron. 2009, 53, 858–861. [Google Scholar] [CrossRef]
  51. Loo, R.; Wang, G.; Souriau, L.; Lin, J.C.; Takeuchi, S.; Brammertz, G.; Caymax, M. Epitaxial Ge on standard STI patterned Si wafers: High quality virtual substrates for Ge pMOS and III/V nMOS. ECS Trans. 2009, 25, 335–350. [Google Scholar] [CrossRef]
  52. Holt, J.R.; Harley, E.C.; Adam, T.N.; Jeng, S.J.; Schepis, D.J. SiGe Selective Epitaxy: Morphology and Thickness Control for High Performance CMOS Technology. ECS Trans. 2008, 16, 475. [Google Scholar] [CrossRef]
  53. Hartmann, J.M.; Bertin, F.; Rolland, G.; Laugier, F.; Séméria, M.N. Selective epitaxial growth of Si and SiGe for metal oxide semiconductor transistors. J. Cryst. Growth 2003, 259, 419–427. [Google Scholar] [CrossRef]
  54. Vescan, L. Selective epitaxial growth of SiGe alloys–influence of growth parameters on film properties. Mater. Sci. Eng. B 1994, 28, 1–8. [Google Scholar] [CrossRef]
  55. Knutson, K.L.; Carr, R.W.; Liu, W.H.; Campbell, S.A. A kinetics and transport model of dichiorosilane chemical vapor deposition. J. Cryst. Growth. 1994, 140, 191–204. [Google Scholar] [CrossRef]
  56. Hallstedt, J.; Kolahdouz, M.; Ghandi, R.; Radamson, H.H.; Wise, R. Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors. J. Appl. Phys. 2008, 103, 054907. [Google Scholar] [CrossRef]
  57. Bodnar, S.; de Berranger, E.; Bouillon, P.; Mouis, M.; Skotnicki, T.; Regolini, J.L. Selective Si and SiGe epitaxial heterostructures grown using an industrial low-pressure chemical vapor deposition module. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 1997, 15, 712. [Google Scholar] [CrossRef]
Figure 1. The targeted structure with compressive Ge grown on the high-aspect-ratio trench.
Figure 1. The targeted structure with compressive Ge grown on the high-aspect-ratio trench.
Nanomaterials 12 01403 g001
Figure 2. Process flow of the structures used in this research: (a) flowchart of the main steps and (b) corresponding schematic diagram for (a) (not to scale).
Figure 2. Process flow of the structures used in this research: (a) flowchart of the main steps and (b) corresponding schematic diagram for (a) (not to scale).
Nanomaterials 12 01403 g002
Figure 3. Si-fins with different aspect ratios: (a) height ≈ 115 nm, width ≈ 38 nm; AR ≈ 3:1; (b) height ≈ 175 nm, width ≈ 23 nm, AR ≈ 8:1. (c) The structure in (b) after the removal of HM, STI filling and dry etching (height ≈ 154 nm, width ≈ 20 nm, AR ≈ 8:1).
Figure 3. Si-fins with different aspect ratios: (a) height ≈ 115 nm, width ≈ 38 nm; AR ≈ 3:1; (b) height ≈ 175 nm, width ≈ 23 nm, AR ≈ 8:1. (c) The structure in (b) after the removal of HM, STI filling and dry etching (height ≈ 154 nm, width ≈ 20 nm, AR ≈ 8:1).
Nanomaterials 12 01403 g003aNanomaterials 12 01403 g003b
Figure 4. Depth and morphology of in situ HCl dry etching under different experimental times at 850 ℃: (a) 60 s; (b) 90 s; (c) 120 s.
Figure 4. Depth and morphology of in situ HCl dry etching under different experimental times at 850 ℃: (a) 60 s; (b) 90 s; (c) 120 s.
Nanomaterials 12 01403 g004
Figure 5. Depth and morphology of 2.5% DTMAH wet etching under different experimental times at room temperature: (a) before etching; (b) 30 s; (c) 90 s; (d) 120 s; (e) 150 s; and (f) 300 s.
Figure 5. Depth and morphology of 2.5% DTMAH wet etching under different experimental times at room temperature: (a) before etching; (b) 30 s; (c) 90 s; (d) 120 s; (e) 150 s; and (f) 300 s.
Nanomaterials 12 01403 g005
Figure 6. Cross-section of selectively grown Ge selected in different parts of the wafer: (a) site 1, the center; (b) site 2, between the center and edge; (c) site 3, the edge.
Figure 6. Cross-section of selectively grown Ge selected in different parts of the wafer: (a) site 1, the center; (b) site 2, between the center and edge; (c) site 3, the edge.
Nanomaterials 12 01403 g006
Figure 7. Layout chip of the fins and plan view of the fins for selective epitaxy. The exposed Si area to the total chip area is almost 1%. The exposed area of the chip has to be compensated by dummy openings at the edge of the wafer.
Figure 7. Layout chip of the fins and plan view of the fins for selective epitaxy. The exposed Si area to the total chip area is almost 1%. The exposed area of the chip has to be compensated by dummy openings at the edge of the wafer.
Nanomaterials 12 01403 g007
Figure 8. (a) Cross-sectional SEM image of the Ge-fin structure; (b) EDS mappings of the Ge filling in STI with elements of C, O, Si and Ge in order.
Figure 8. (a) Cross-sectional SEM image of the Ge-fin structure; (b) EDS mappings of the Ge filling in STI with elements of C, O, Si and Ge in order.
Nanomaterials 12 01403 g008
Figure 9. (a,b) Cross-sectional TEM image in the bright field of the selectively grown Ge-fin structure; (c) from the bottom of (b): interface between selectively grown Ge layers at the sides and bottom of silicon oxide mask; (d) magnified image of (c): clear illustration of the dislocation being suppressed by silicon oxide.
Figure 9. (a,b) Cross-sectional TEM image in the bright field of the selectively grown Ge-fin structure; (c) from the bottom of (b): interface between selectively grown Ge layers at the sides and bottom of silicon oxide mask; (d) magnified image of (c): clear illustration of the dislocation being suppressed by silicon oxide.
Nanomaterials 12 01403 g009
Figure 10. Cross−section HRTEM images of the Ge epi-layer: (a) above the fin head, (b) located in the middle (around the fin top) and (c) bottom part of the channel. The electron diffraction patterns of different regions are presented in each group.
Figure 10. Cross−section HRTEM images of the Ge epi-layer: (a) above the fin head, (b) located in the middle (around the fin top) and (c) bottom part of the channel. The electron diffraction patterns of different regions are presented in each group.
Nanomaterials 12 01403 g010
Figure 11. (a) HRXRD rocking curves (RCs) around (004) reflection and (b) HRRLMs around (113) reflection for the selectively grown Ge.
Figure 11. (a) HRXRD rocking curves (RCs) around (004) reflection and (b) HRRLMs around (113) reflection for the selectively grown Ge.
Nanomaterials 12 01403 g011
Table 1. Etching rates of the two methods at different times.
Table 1. Etching rates of the two methods at different times.
Etch MethodEtch Time (s)Etch Depth (nm)Etch Rate (Å/s)
DTMAH
wet etching
304816
90546
120947.83
1501167.73
3001785.93
In situ HCl
dry etching
6011819.7
9013515.0
12014812.6
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Xu, B.; Wang, G.; Du, Y.; Miao, Y.; Wu, Y.; Kong, Z.; Su, J.; Li, B.; Yu, J.; Radamson, H.H. Investigation of the Integration of Strained Ge Channel with Si-Based FinFETs. Nanomaterials 2022, 12, 1403. https://doi.org/10.3390/nano12091403

AMA Style

Xu B, Wang G, Du Y, Miao Y, Wu Y, Kong Z, Su J, Li B, Yu J, Radamson HH. Investigation of the Integration of Strained Ge Channel with Si-Based FinFETs. Nanomaterials. 2022; 12(9):1403. https://doi.org/10.3390/nano12091403

Chicago/Turabian Style

Xu, Buqing, Guilei Wang, Yong Du, Yuanhao Miao, Yuanyuan Wu, Zhenzhen Kong, Jiale Su, Ben Li, Jiahan Yu, and Henry H. Radamson. 2022. "Investigation of the Integration of Strained Ge Channel with Si-Based FinFETs" Nanomaterials 12, no. 9: 1403. https://doi.org/10.3390/nano12091403

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop