Next Article in Journal
Morphology Controlled Synthesis of γ-Al2O3 Nano-Crystallites in Al@Al2O3 Core–Shell Micro-Architectures by Interfacial Hydrothermal Reactions of Al Metal Substrates
Next Article in Special Issue
Recent Progress on Vanadium Dioxide Nanostructures and Devices: Fabrication, Properties, Applications and Perspectives
Previous Article in Journal
Effect of Size and Shape on Electrochemical Performance of Nano-Silicon-Based Lithium Battery
Previous Article in Special Issue
Orientation-Dependent Conversion of VLS-Grown Lead Iodide Nanowires into Organic-Inorganic Hybrid Perovskites
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs

1
Key Laboratory of Microelectronics Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences (CAS), Beijing 100029, China
2
School of Electronic, Electrical and Communication Engineering, University of the Chinese Academy of Sciences, Beijing 100049, China
*
Author to whom correspondence should be addressed.
Nanomaterials 2021, 11(2), 309; https://doi.org/10.3390/nano11020309
Submission received: 1 January 2021 / Revised: 20 January 2021 / Accepted: 20 January 2021 / Published: 26 January 2021

Abstract

:
A 16-nm-Lg p-type Gate-all-around (GAA) silicon nanowire (Si NW) metal oxide semiconductor field effect transistor (MOSFET) was fabricated based on the mainstream bulk fin field-effect transistor (FinFET) technology. The temperature dependence of electrical characteristics for normal MOSFET as well as the quantum transport at cryogenic has been investigated systematically. We demonstrate a good gate-control ability and body effect immunity at cryogenic for the GAA Si NW MOSFETs and observe the transport of two-fold degenerate hole sub-bands in the nanowire (110) channel direction sub-band structure experimentally. In addition, the pronounced ballistic transport characteristics were demonstrated in the GAA Si NW MOSFET. Due to the existence of spacers for the typical MOSFET, the quantum interference was also successfully achieved at lower bias.

1. Introduction

Nowadays, advanced silicon complementary metal oxide semiconductor (CMOS) technology is a significant platform for research of quantum computer realization. On the one hand, spin qubits based on silicon metal-oxide-semiconductor (MOS) quantum dots are a potential candidate for quantum information processing because of its material advantage [1] and compatibility with the mature CMOS fabricating technology for qubits extension and system integration. On the other hand, the cryogenic CMOS (cryo-CMOS) used for a quantum-classical interface (QCI) [2] in quantum computing system is an extraordinary research direction for a better control of power dissipation, noise and crosstalk. Si MOS quantum dot devices for spin qubits have been realized based on fully-depleted silicon on insulator (FD-SOI) technology [3,4] and sub-fin in conventional bulk fin field-effect transistor (FinFET) process [5]. The cryo-CMOS technology, based on conventional MOSFET [6,7,8], fully depleted silicon (germanium) on insulator (FD-SOI(GOI)) [9,10,11,12] and FinFET [13], has also been investigated. Some new kinds of devices [14,15,16] have now been reported for MOSFET alternatives. Gate-all-around (GAA) silicon nanowire (Si NW) or nanosheet (NS) field effect transistor (FET) is regarded as the most likely candidate to replace FinFET in the next CMOS technology nodes [17,18], and has better gate control ability for scaling down with lower power dissipation and higher integration density as well as the application for cryo-CMOS. In addition, the quantum confinement effect will be more pronounced in the small-size GAA NW devices. With the volume inversion effect in the multi-gate MOSFET [19], one-dimensional (1D) electron gas could be formed in the GAA NW channel. The carriers are only free to move along the channel direction, producing series quantized sub-band, which influences the electrical characteristics of the GAA Si NW MOSFET [20,21] and presents another potential application of nanoelectronics such as quantum information.
In this paper, we fabricated a short-channel (~16 nm) p-type GAA Si NW FET with popular RMG (replace metal gate) process based on mainstream bulk Si CMOS technology. Both the temperature dependence for the normal transistor’s characteristics and the 1D hole transport of (110) direction have been investigated systematically. Good gate controllability and body effect immunity for the cryo-CMOS are demonstrated. We also observed the two-fold degenerate sub-bands from the complicated one-dimensional (1D) hole sub-band structure and demonstrated pronounced ballistic transport characteristics in the GAA Si NW FET.

2. Materials and Methods

The device was fabricated on 200 mm in diameter bulk silicon (100) wafers. The whole process is evolved from the bulk FinFET process and similar to the previous work [22], which is different from other Si NW synthesis with bottom up [23] or top down [24] approaches. Firstly, a spacer image transfer (SIT) technology is used for fin patterning, followed by the formation of fin with notches at both bottom sides by a special etch method [25]. The total isolated fin was formed after oxidation and the regular fabrication processes, including STI (shadow trench isolation), dummy gate formation and 40 nm thickness Si3N4 spacers were performed. The S/D (source/drain) was formed by implantation (BF+, dose of 2E15 and energy of 5 keV) and a rapid thermal anneal (1050 °C spike). After poly open polish (POP) process and dummy gate removal, the isolated fin channel was released using dilute HF solution and treated by H2 baking in the ASME 2000 plus reduced pressure chemical vapor deposition (RPCVD) chamber (20 mT, 850 °C) for 120 s for channel shrinking and rounding. Multi-layer high-k/metal gate structure was formed via atom layer deposition (ALD) process. Finally, the metal contact process were performed. Table 1 lists some important parameters of this GAA NW device. The final channel is along the (110) direction and the gate length is about 16 nm. As the transmission electron microscopy (TEM) of cross section perpendicular to the channel shown in Figure 1a, the channel is fully isolated to the substrate by a thick oxide, which is round and fully wrapped by high-k material and presents a GAA structure with 9 nm-radius nanowire channel. The equivalent oxide thickness (EOT) is about 1 nm. Figure 1b shows the schematic of this device, only part of the channel is rounded into nanowire while the other part remains big fin geometry, which reduces the S/D contact resistance and guarantees the stability of the suspended channel structure.
The electrical characteristics of this GAA Si NW device were measured in the vacuum chamber at temperatures ranging from 6 K to 300 K with Lake Shore CRX-4K system (Carson, CA, USA) and Keysight B1500 semiconductor parameter analyzer (Santa Rosa, CA, USA).

3. Results and Discussion

3.1. Cryogenic CMOS Characteristics

Figure 2 shows the temperature dependence of transfer curves. At the overall trend, drain current decreases as the temperature decreases and the sub-threshold characteristics become better at lower temperature. The on-state current decreases with the decrease of the temperatures, which is attribute to the suppression of thermal-activated conducting current and the temperature-induced mobility degradation for the p-type MOS transistor as temperature drops down [26]. As the metrics for the short-channel device, the DIBL (drain induced barrier lowering) effect is an electrostatic characteristic and almost insensitive to the temperature [27], which keeps stable as the temperature is above 100 K, as shown in Figure 3a. However, the effect of the drain-induced barrier lowering (DIBLs) improves obviously as the temperature drops down below 100 K, which is different from the slight degradation for SOI MOSFETs [28,29] due to the floating-body and impact ionization effects [28]. The improvement of the DIBL is mainly attributed to the increase in the surface potential bending because of freeze-out effect below 77 K [27]. On the other hand, the tunneling current that has stronger channel coupling especially in short-channel nanowire devices may contribute to the improvement of the DIBLs [10] as the thermal current is suppressed at cryogenic. The temperature dependence of subthreshold swing (SS) is perfectly matched to the theoretical function given by SS ( T ) = ln 10 · k B T e 0.199 T mV/Decade at T > 70 K, indicating the good gate controllability and body effect immunity for the short-channel GAA Si NW MOSFETs as well. The saturation of SS is observed below 50 K and the common explanation is the increase of interface state density at cryogenic [30]. As mentioned below, the gradually dominant in transport by tunneling current as temperature decrease is another factor of SS degradation for the short channel devices, since the tunneling probability is temperature independent and weaker dependent to the gate voltage compared with the subthreshold thermal current at cryogenic [31]. As the nanowire radius is small enough (~10 nm), the temperature dependence of threshold voltage for p-type MOSFET can be considered as full-depleted channel like FD-SOI [32] obtained by [33]:
d V t d T = d Φ F d T = 8.63 × 10 5 [ ln N d 38.2 3 2 ( 1 + ln T ) ] ( unit : V / K ) ,
The value is about 0.55–0.79 mV/K for the donor concentration Nd = 1× 1017 cm−2 at the temperature ranging from 50 K to 300 K, which agrees well with the experiment result (0.65 mV/K). When the temperature is below 50 K, the incomplete ionization or freeze out effect by reducing Nd and innegligible quantum effect [34] at lower temperature will weaken the temperature dependent of threshold voltage, as shown in Figure 3b. Figure 4 shows the transconductance (Gm) characteristics at different temperature. The position of Gm peak shifts to right as the tendency of threshold voltage. The relation of peak transconductance (maximum Gm) and surface mobility is given by μ = L G m W C O X V d , revealing the mobility degradation as the temperature drops down at first because ionized impurity scattering is dominant at cryogenic [35]. The field effect mobility peak of this nanowire device is about 10 cm2/V·s, smaller than some previous publication [36], which may be attributed to a short channel and a large serious resistance by not applying epitaxy or silicide process in small S/D fin regions. As the temperature is below 20 K, the thermal energy is less than ionization energy [37], thus ionized impurity scattering is weakened, which contributes to the increase of mobility at 6 K and the enhancement of on-sate current. The other transconductance peaks (red arrows) at T < 50 K indicate obvious quantum transport and that will be discussed in detail, as follows.

3.2. Low-Dimensional Hole Transport

The temperature dependence of drain current as the function of gate voltage at low bias was investigated. IdVg curves exhibit step-shape current when the thermal-diffuse current is suppressed at the temperature below 50 K as shown in Figure 5a, which is the 1-D hole transport resulting from the tunneling conducting path dominated at low temperature. Several step-like currents can be observed clearly as Vg exceeds the threshold voltage (~−0.42 V) from the IdVg curves at 6 K (Figure 5b), indicating the successive hole sub-bands occupancy as Vg decreases. The reduction of the Gm peaks as Vg reveals the quick current saturation for the carrier transport, which demonstrates the 1D quantum transport further since the 2D transport results in relative uniformly amplitude for the Gm peaks [38]. Black arrows in Figure 5c mark the positions of gate voltage for Gm valleys and the corresponded gate voltages are −0.47 V, −0.53 V, −0.63 V and −0.74 V, respectively. The spacing of gate voltage (ΔVg) between two adjacent Gm valleys are determined to be 0.06 V, 0.1 V and 0.11 V, respectively. The experimental energy spacing of 1D sub-bands ΔE can be estimated by:
Δ E = C · Δ V g · π ħ 2 2 m * e
where C is the gate capacitance per unit area and 30% degradation from the oxide capacitance according to Neophytou [39], m* is the hole effective mass. The ΔE can be achieved 7.3 meV, 12.2 meV and 13.4 meV, respectively. It is reasonable that the quantized step-like current can be observed below 50 K (1.7~3.1 kBT).
Figure 5d shows the conductance characteristics for Vd = −10 mV at 6 K. The height for the first current step is two or more times of the second or third step, which is corresponding to the two-fold degeneracy of the lowest two-hole sub-bands for the (110) direction simulated based on k∙p method [40]. The result is different from the electron sub-bands structure in 1D nanowire that 2nd and 3rd, 4th and 5th are two-fold degeneracy, respectively [41]. The proportion of the total current for the first two-fold degenerate sub-bands is nearly 50%, adjusting well with the simulated result [40]. The relation of conductance G and the number of populated 1D sub-bands is given by Wharam [42]:
G = 2 e 2 h i T i ,
where Ti is the transmission coefficient of the ith sub-band. The second or third current step has smaller current height, which is due to the transmission coefficient degradation because of the inter-sub-band scattering [19] as more sub-bands are populated when more negative gate voltage is applied.
For the fixed gate voltage, Id increases with Vd at low bias given by:
I d = M 2 q 2 h V d ,
where M is the current-carrying mode. The number of modes increases with gate voltage due to more sub-bands populated. Figure 6 shows the 1-D transport of IdVd characteristics at different gate voltages for this GAA Si NW device. The channel current increases in different slopes as drain voltage decreases, reflecting step-like channel conductance. At first, the channel conductance increases as Vd, which may contribute to the depression of serious inner-sub-band scattering as Vd decreases. The position of abrupt change for the slope corresponding to the drain Fermi level traversing a sub-band minimum as the drain voltage becomes more negative. This phenomenon indicates the formation of great one-dimensional ballistic conducting path for this 16-nm-Lg channel GAA Si NW device [43].
The drain current as function of gate voltage has been measured in a wider range including negative and positive drain bias as shown in Figure 7a. What is interesting is that the asymmetry for the current of negative and positive bias is observed especially at lower absolute value of bias shown in Figure 7b. The suspicion of gate leakage current is firstly ruled out from the inset of Figure 7b, and the measured gate current is about 3 orders of magnitude smaller than the drain current. The profile of IdVd becomes symmetry if the zero-bias current is subtracted as shown in Figure 7c, which indicates that the asymmetry characteristics are almost independent from the absolute value of drain bias higher than about 100 μV. Current oscillation is observed when the thermal broadening for the carrier energy distribution is suppressed at a temperature below 50 K, which is the phenomena of quantum interference in the nanowire-conducting channel. The quantum interference in the nanoelectronics is the interference of partial charge-carrier waves between the two reflecting points [44] and also can be a signature of ballistic transport. During the fabrication of the devices, the poly gate and spacers prevent the impurities going into the channel below when S/D implantation was performed. The difference of Fermi energy between S/D and channel results in a big potential barrier between source and drain when no gate voltage is applied as the electrostatic potential distribution shown in the inset of Figure 7d. As the applied gate voltage decreases for the p-type device, the volume-inversion conducting path is formed below the threshold voltage. In addition, the big potential barrier may split into two small tunneling barriers under the spacer because of the weaker potential control for these regions as shown in Figure 7d, which contributes to the quantum interference in this kind of nanowire MOSFET. As the double tunneling barriers are not big enough, the quantum interference only occurs at bottom conducting path with lower energy level, which results in the superposition of quantum interference and 1D transport at higher bias. The height of double tunneling barriers decreases as the function of gate voltage, thus the current resonant smears out as the Vg decreases. The elastic mean free path is given by Biercuk [45]:
l = 4 e c g Δ V g ,
where the cg is the capacitance per unit length and the ΔVg is the periodicity for the gate voltage. The elastic mean free path is achieved about 9.4 nm, considering the process variation and the Vg-dependent tunneling barriers, which is reasonable for the 16-nm-Lg nanowire device. As the gate voltage decreases, the zero-bias current shifts just like a negative bias is added. This bias offset is attributed to the asymmetric gate-induced tunneling barriers [46]. The difference of tunneling in and out rate because of the asymmetric tunneling barriers results in a finite current at zero bias at finite gate voltage [46].

4. Conclusions

In conclusion, 16-nm-Lg p-type GAA Si NW MOSFETs were fabricated based on the mainstream bulk silicon CMOS technology. The temperature dependence of electrical characteristics for the normal MOSFET has been systematically investigated, which demonstrates a good gate-control ability and body effect immunity as well as the contribution of tunneling current at cryogenic. Moreover, a great 1D hole transport was characterized in the same device. The two-fold degeneracy in hole sub-bands at (110) channel direction was experimentally observed from the complicated nanowire hole sub-bands structure. These results demonstrate the potential of cryo-CMOS as well as a great physical platform of quantum device for GAA Si NW devices compatible with the next generation CMOS technology.

Author Contributions

Conceptualization, J.G. and Q.Z.; methodology, J.Y., Z.Z., X.Z., G.W., J.L. (Junjie Li), Y.Z., Y.C., R.X., G.X., Q.X., J.L. (Jun Luo), W.W. and T.Y.; investigation, J.G. and Z.W.; data curation, J.G.; writing—original draft preparation, J.G.; writing—review and editing, H.Y., Q.Z. and Z.W.; supervision, H.Y.; project administration, H.Y.; funding acquisition, H.Y. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by the Youth Innovation Promotion Association, Chinese Academy of Sciences under grants Y9YQ01R004 and Y2020037, the National Key Project of Science and Technology of China under grant 2017ZX02315001-001, in part by the Science and Technology program of Beijing Municipal Science and Technology Commission under grants Z201100006820084 and Z201100004220001, in part by the NSFC under grants 61904194 and 92064003, in part by the Opening Project of Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences under Grant E0YS01X001 and in part by the National Key Research and Development Program of China under grant 2016YFA0301701.

Data Availability Statement

The data presented in this study are available on request from the corresponding authors.

Acknowledgments

We thank the Integrated Circuit Advanced Process Center (ICAC) at the Institute of Microelectronics of the Chinese Academy of Sciences for the devices fabricated on their advanced 200 mm CMOS platform.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Kolodrubetz, M.H.; Petta, J.R. Coherent holes in a semiconductor quantum dot. Science 2009, 325, 42–43. [Google Scholar] [CrossRef]
  2. Reilly, D.J. Challenges in Scaling-up the Control Interface of a Quantum Computer. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 31.37.31–31.37.36. [Google Scholar]
  3. Maurand, R.; Jehl, X.; Kotekar-Patil, D.; Corna, A.; Bohuslavskyi, H.; Laviéville, R.; Hutin, L.; Barraud, S.; Vinet, M.; Sanquer, M.; et al. A CMOS silicon spin qubit. Nat. Commun. 2016, 7, 13575. [Google Scholar] [CrossRef]
  4. Bourdet, L.; Hutin, L.; Bertrand, B.; Corna, A.; Bohuslavskyi, H.; Amisse, A.; Crippa, A.; Maurand, R.; Barraud, S.; Urdampilleta, M.; et al. All-electrical control of a hybrid electron spin/valley quantum bit in SOI CMOS technology. IEEE Trans. Electron Devices 2018, 65, 5151–5156. [Google Scholar] [CrossRef]
  5. Pillarisetty, R.; George, H.C.; Watson, T.F.; Lampert, L.; Thomas, N.; Bojarski, S.; Amin, P.; Caudillo, R.; Henry, E.; Kashani, N.; et al. High Volume Electrical Characterization of Semiconductor Qubits. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 31.35.31–31.35.34. [Google Scholar]
  6. Gong, J.; Chen, Y.; Sebastiano, F.; Charbon, E.; Babaie, M. 19.3 A 200dB FoM 4-to-5GHz Cryogenic Oscillator with an Automatic Common-Mode Resonance Calibration for Quantum Computing Applications. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 308–310. [Google Scholar]
  7. Beckers, A.; Jazaeri, F.; Enz, C. Cryogenic MOS Transistor Model. IEEE Trans. Electron Devices 2018, 65, 3617–3625. [Google Scholar] [CrossRef]
  8. Beckers, A.; Jazaeri, F.; Ruffino, A.; Bruschini, C.; Baschirotto, A.; Enz, C. Cryogenic characterization of 28 nm bulk CMOS technology for quantum computing. In Proceedings of the 2017 47th European Solid-State Device Research Conference (ESSDERC), Leuven, Belgium, 11–14 September 2017; pp. 62–65. [Google Scholar]
  9. Bohuslavskyi, H.; Barraud, S.; Cassé, M.; Barrai, V.; Bertrand, B.; Hutin, L.; Arnaud, F.; Galy, P.; Sanquer, M.; De Franceschi, S. 28 nm Fully-depleted SOI technology: Cryogenic control electronics for quantum computing. In Proceedings of the Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 4–5 June 2017; pp. 143–144. [Google Scholar]
  10. Paz, B.C.; Pavanello, M.A.; Cassé, M.; Barraud, S.; Reimbold, G.; Vinet, M.; Faynot, O. Cryogenic operation of Ω-gate p-type SiGe-on-insulator nanowire MOSFETs. In Proceedings of the 2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Granada, Spain, 19–21 March 2018; pp. 1–4. [Google Scholar]
  11. Bohuslavskyi, H.; Jansen, A.G.M.; Barraud, S.; Barral, V.; Cassé, M.; Guevel, L.L.; Jehl, X.; Hutin, L.; Bertrand, B.; Billiot, G.; et al. Cryogenic Subthreshold Swing Saturation in FD-SOI MOSFETs Described With Band Broadening. IEEE Electron Device Lett. 2019, 40, 784–787. [Google Scholar] [CrossRef] [Green Version]
  12. Guevel, L.L.; Billiot, G.; Jehl, X.; Franceschi, S.D.; Zurita, M.; Thonnart, Y.; Vinet, M.; Sanquer, M.; Maurand, R.; Jansen, A.G.M.; et al. 19.2 A 110mK 295µW 28nm FDSOI CMOS Quantum Integrated Circuit with a 2.8GHz Excitation and nA Current Sensing of an On-Chip Double Quantum Dot. In Proceedings of the 2020 IEEE International Solid- State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 306–308. [Google Scholar]
  13. Patra, B.; Dijk, J.P.G.v.; Subramanian, S.; Corna, A.; Xue, X.; Jeon, C.; Sheikh, F.; Juarez-Hernandez, E.; Esparza, B.P.; Rampurawala, H.; et al. 19.1 A Scalable Cryo-CMOS 2-to-20GHz Digitally Intensive Controller for 4 × 32 Frequency Multiplexed Spin Qubits/Transmons in 22nm FinFET Technology for Quantum Computers. In Proceedings of the 2020 IEEE International Solid- State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 304–306. [Google Scholar]
  14. Saremi, M.; Afzali-Kusha, A.; Mohammadi, S. Ground plane fin-shaped field effect transistor (GP-FinFET): A FinFET for low leakage power circuits. Microelectron. Eng. 2012, 95, 74–82. [Google Scholar] [CrossRef]
  15. Molaei Imen Abadi, R.; Saremi, M. A Resonant Tunneling Nanowire Field Effect Transistor with Physical Contractions: A Negative Differential Resistance Device for Low Power Very Large Scale Integration Applications. J. Electron. Mater. 2017, 47, 1091–1098. [Google Scholar] [CrossRef]
  16. Imenabadi, R.M.; Saremi, M.; Vandenberghe, W.G. A Novel PNPN-Like Z-Shaped Tunnel Field- Effect Transistor With Improved Ambipolar Behavior and RF Performance. IEEE Trans. Electron Devices 2017, 64, 4752–4758. [Google Scholar] [CrossRef]
  17. Radamson, H.H.; Zhu, H.; Wu, Z.; He, X.; Lin, H.; Liu, J.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555. [Google Scholar] [CrossRef]
  18. Kim, S.; Kim, J.; Jang, D.; Ritzenthaler, R.; Parvais, B.; Mitard, J.; Mertens, H.; Chiarella, T.; Horiguchi, N.; Lee, J.W. Comparison of Temperature Dependent Carrier Transport in FinFET and Gate-All-Around Nanowire FET. Appl. Sci. 2020, 10, 2979. [Google Scholar] [CrossRef]
  19. Colinge, J.P. Quantum-wire effects in trigate SOI MOSFETs. Solid-State Electron. 2007, 51, 1153–1160. [Google Scholar] [CrossRef]
  20. Kim, R.; Lundstrom, M.S. Characteristic Features of 1-D Ballistic Transport in Nanowire MOSFETs. IEEE Trans. Nanotechnol. 2008, 7, 787–794. [Google Scholar] [CrossRef]
  21. Yao, J.; Yin, H.; Wang, W.; Li, J.; Luo, K.; Yu, J.; Zhang, Q.; Hou, Z.; Gu, J.; Yang, W.; et al. Physical Insights on Quantum Confinement and Carrier Mobility in Si, Si0.45Ge0.55, Ge Gate-All-Around NSFET for 5 nm Technology Node. IEEE J. Electron Devices Soc. 2018, 6, 841–848. [Google Scholar] [CrossRef]
  22. Zhang, Q.; Yin, H.; Meng, L.; Yao, J.; Li, J.; Wang, G.; Li, Y.; Wu, Z.; Xiong, W.; Yang, H.; et al. Novel GAA Si nanowire p-MOSFETs with excellent short-channel effect immunity via an advanced forming process. IEEE Electron Device Lett. 2018, 39, 464–467. [Google Scholar] [CrossRef]
  23. Burchak, O.; Keller, C.; Lapertot, G.; Salaun, M.; Danet, J.; Chen, Y.; Bendiab, N.; Pepin-Donat, B.; Lombard, C.; Faure-Vincent, J.; et al. Scalable chemical synthesis of doped silicon nanowires for energy applications. Nanoscale 2019, 11, 22504–22514. [Google Scholar] [CrossRef]
  24. Leonardi, A.A.; Nastasi, F.; Morganti, D.; Lo Faro, M.J.; Picca, R.A.; Cioffi, N.; Franzò, G.; Serroni, S.; Priolo, F.; Puntoriero, F.; et al. New Hybrid Light Harvesting Antenna Based on Silicon Nanowires and Metal Dendrimers. Adv. Opt. Mater. 2020, 8. [Google Scholar] [CrossRef]
  25. Zhang, Q.; Yin, H.; Luo, J.; Yang, H.; Meng, L.; Li, Y.; Wu, Z.; Zhang, Y.; Zhang, Y.; Qin, C.; et al. FOI FinFET with ultra-low parasitic resistance enabled by fully metallic source and drain formation on isolated bulk-fin. In Proceedings of the Electron Devices Meeting (IEDM), 2016 IEEE International, San Francisco, CA, USA, 3–7 December 2016; pp. 17.13.11–17.13.14. [Google Scholar]
  26. Beckers, A.; Jazaeri, F.; Bohuslavskyi, H.; Hutin, L.; De Franceschi, S.; Enz, C. Characterization and modeling of 28-nm FDSOI CMOS technology down to cryogenic temperatures. Solid-State Electron. 2019, 159, 106–115. [Google Scholar] [CrossRef] [Green Version]
  27. Yan, Z.X.; Deen, M.J. Substrate bias effects on drain-induced barrier lowering in short channel PMOS devices at 77 K. Cryogenics 1990, 30, 1160–1165. [Google Scholar] [CrossRef]
  28. Pavanello, M.A.; Martino, J.A.; Simoen, E.; Claeys, C. Analysis of Temperature-Induced Saturation Threshold Voltage Degradation in Deep-Submicrometer Ultrathin SOI MOSFETs. IEEE Trans. Electron Devices 2005, 52, 2236–2242. [Google Scholar] [CrossRef]
  29. Achour, H.; Cretu, B.; Routoure, J.M.; Carin, R.; Talmat, R.; Benfdila, A.; Simoen, E.; Claeys, C. In depth static and low-frequency noise characterization of n-channel FinFETs on SOI substrates at cryogenic temperature. Solid-State Electron. 2014, 98, 12–19. [Google Scholar] [CrossRef] [Green Version]
  30. Hafez, I.M.; Ghibaudo, G.; Balestra, F. Assessment of interface state density in silicon metal-oxide-semiconductor transistors at room, liquid-nitrogen, and liquid-helium temperatures. J. Appl. Phys. 1990, 67, 1950–1952. [Google Scholar] [CrossRef]
  31. Kawaura, H.; Sakamoto, T.; Baba, T. Observation of source-to-drain direct tunneling current in 8 nm gate electrically variable shallow junction metal–oxide–semiconductor field-effect transistors. Appl. Phys. Lett. 2000, 76, 3810–3812. [Google Scholar] [CrossRef]
  32. Wei, H.W.; Ruslan, S.H. Investigation of FDSOI and PDSOI MOSFET characteristics. In Proceedings of the Advances in electrical and electronic engineering: From theory to applications (series 2): Proceedings of the International Conference of Electrical and Electronic Engineering (ICon3E 2019), Putrajaya, Malaysia, 24–25 June 2019. [Google Scholar]
  33. Groeseneken, G.; Colinge, J.P.; Maes, H.E.; Alderman, J.C.; Holt, S. Temperature dependence of threshold voltage in thin-film SOI MOSFETs. IEEE Electron Device Lett. 1990, 11, 329–331. [Google Scholar] [CrossRef]
  34. Omura, Y.; Nakakubo, A.; Nakatsuji, H. Quantum mechanical effect in temperature dependence of threshold voltage of extremely thin SOI MOSFETs. Solid-State Electron. 2004, 48, 1661–1666. [Google Scholar] [CrossRef]
  35. Jonscher, A.K. Semiconductors at cryogenic temperatures. Proc. IEEE 1964, 52, 1092–1104. [Google Scholar] [CrossRef]
  36. Trivedi, K.; Yuk, H.; Floresca, H.C.; Kim, M.J.; Hu, W. Quantum Confinement Induced Performance Enhancement in Sub-5-nm Lithographic Si Nanowire Transistors. Nano Lett. 2011, 11, 1412–1417. [Google Scholar] [CrossRef]
  37. Li, X.; Han, W.; Wang, H.; Ma, L.; Zhang, Y.; Du, Y.; Yang, F. Low-temperature electron mobility in heavily n-doped junctionless nanowire transistor. Appl. Phys. Lett. 2013, 102. [Google Scholar] [CrossRef]
  38. Yi, K.S.; Trivedi, K.; Floresca, H.C.; Yuk, H.; Hu, W.; Kim, M.J. Room-temperature quantum confinement effects in transport properties of ultrathin Si nanowire field-effect transistors. Nano Lett. 2011, 11, 5465–5470. [Google Scholar] [CrossRef]
  39. Neophytou, N.; Paul, A.; Klimeck, G. Bandstructure Effects in Silicon Nanowire Hole Transport. IEEE Trans. Nanotechnol. 2008, 7, 710–719. [Google Scholar] [CrossRef] [Green Version]
  40. Shin, M.; Lee, S.; Klimeck, G. Computational Study on the Performance of Si Nanowire pMOSFETs Based on the $ k\cdot p $ Method. IEEE Trans. Electron Devices 2010, 57, 2274–2283. [Google Scholar] [CrossRef]
  41. Ford, A.C.; Kumar, S.B.; Kapadia, R.; Guo, J.; Javey, A. Observation of degenerate one-dimensional sub-bands in cylindrical InAs nanowires. Nano Lett. 2012, 12, 1340–1343. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  42. Wharam, D.A.; Thornton, T.J.; Newbury, R.; Pepper, M.; Ahmed, H.; Frost, J.E.F.; Hasko, D.G.; Peacock, D.C.; Ritchie, D.A.; Jones, G.A.C. One-dimensional transport and the quantisation of the ballistic resistance. J. Phys. C Solid State Phys. 1988, 21, L209–L214. [Google Scholar] [CrossRef]
  43. Natori, K.; Kimura, Y.; Shimizu, T. Characteristics of a carbon nanotube field-effect transistor analyzed as a ballistic nanowire field-effect transistor. J. Appl. Phys. 2005, 97, 034306. [Google Scholar] [CrossRef] [Green Version]
  44. Schupp, F.J.; Mirza, M.M.; MacLaren, D.A.; Briggs, G.A.D.; Paul, D.J.; Mol, J.A. Quantum interference in silicon one-dimensional junctionless nanowire field-effect transistors. Phys. Rev. B 2018, 98, 235428. [Google Scholar] [CrossRef] [Green Version]
  45. Biercuk, M.J.; Mason, N.; Martin, J.; Yacoby, A.; Marcus, C.M. Anomalous conductance quantization in carbon nanotubes. Phys. Rev. Lett. 2005, 94, 026801. [Google Scholar] [CrossRef]
  46. Nakashima, H.; Uozumi, K. Zero-bias current in a single-electron transistor with identical trapezoidal tunnelling barriers for finite fixed gate voltages. J. Phys. Condens. Matter 1998, 10, 4291–4296. [Google Scholar] [CrossRef]
Figure 1. Device structure: (a) cross-sectional transmission electron microscopy (TEM) image perpendicular to the channel, (b) schematic of the Gate-all-around (GAA) silicon nanowire (Si NW) metal oxide semiconductor field effect transistor (MOSFET) with a circular nanowire channel. Inset: Simulated doping profile of the device.
Figure 1. Device structure: (a) cross-sectional transmission electron microscopy (TEM) image perpendicular to the channel, (b) schematic of the Gate-all-around (GAA) silicon nanowire (Si NW) metal oxide semiconductor field effect transistor (MOSFET) with a circular nanowire channel. Inset: Simulated doping profile of the device.
Nanomaterials 11 00309 g001
Figure 2. Measured linear and logarithmic IdVg curves at different temperatures ranging from 6 K to 292 K at (a) Vd = −0.05 V and (b) Vd = −1 V.
Figure 2. Measured linear and logarithmic IdVg curves at different temperatures ranging from 6 K to 292 K at (a) Vd = −0.05 V and (b) Vd = −1 V.
Nanomaterials 11 00309 g002
Figure 3. The temperature (T) dependence for extracted (a) DIBLs and SSs and (b) Vts of the GAA Si NW FET.
Figure 3. The temperature (T) dependence for extracted (a) DIBLs and SSs and (b) Vts of the GAA Si NW FET.
Nanomaterials 11 00309 g003
Figure 4. The extracted transconductance at bias of −50 mV at different temperatures ranging from 6 K to 292 K. Inset: temperature dependence of maximum Gm.
Figure 4. The extracted transconductance at bias of −50 mV at different temperatures ranging from 6 K to 292 K. Inset: temperature dependence of maximum Gm.
Nanomaterials 11 00309 g004
Figure 5. (a) Measured IdVg curves at Vd = −10 mV within the temperature ranging from 6 K to 292 K. (b) Measured IdVg curves at different drain voltage at 6 K. (c) Transconductance extracted from (b). (d) The conductance as function of gate voltage for Vd = −10 mV at 6 K.
Figure 5. (a) Measured IdVg curves at Vd = −10 mV within the temperature ranging from 6 K to 292 K. (b) Measured IdVg curves at different drain voltage at 6 K. (c) Transconductance extracted from (b). (d) The conductance as function of gate voltage for Vd = −10 mV at 6 K.
Nanomaterials 11 00309 g005
Figure 6. Measured IdVd curves and channel conductance gD for different gate voltages at 6 K. Inset: the IdVd characteristics at Vg = −0.5 V.
Figure 6. Measured IdVd curves and channel conductance gD for different gate voltages at 6 K. Inset: the IdVd characteristics at Vg = −0.5 V.
Nanomaterials 11 00309 g006
Figure 7. (a) Measured Id–Vg curves at different Vgs ranging from −10 mV to 10 mV and (b) from −1 mV to 1 mV at 6 K. Inset: gate current Ig as function of Vg. (c) The Id–Vg curves at different Vg by subtracting the drain zero-bias current. (d) Temperature dependence of zero-bias drain current with gate voltage. Inset: Electrostatic potential distribution by applying gate voltage (dotted line) or without gate voltage (solid line).
Figure 7. (a) Measured Id–Vg curves at different Vgs ranging from −10 mV to 10 mV and (b) from −1 mV to 1 mV at 6 K. Inset: gate current Ig as function of Vg. (c) The Id–Vg curves at different Vg by subtracting the drain zero-bias current. (d) Temperature dependence of zero-bias drain current with gate voltage. Inset: Electrostatic potential distribution by applying gate voltage (dotted line) or without gate voltage (solid line).
Nanomaterials 11 00309 g007
Table 1. Some important parameters of the GAA NW device.
Table 1. Some important parameters of the GAA NW device.
Gate LengthEOTNW DiameterChannel ConcentrationS/D Concentration
16 nm1 nm18 nm1 × 1017 cm−31 × 1020 cm−3
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Gu, J.; Zhang, Q.; Wu, Z.; Yao, J.; Zhang, Z.; Zhu, X.; Wang, G.; Li, J.; Zhang, Y.; Cai, Y.; et al. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs. Nanomaterials 2021, 11, 309. https://doi.org/10.3390/nano11020309

AMA Style

Gu J, Zhang Q, Wu Z, Yao J, Zhang Z, Zhu X, Wang G, Li J, Zhang Y, Cai Y, et al. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs. Nanomaterials. 2021; 11(2):309. https://doi.org/10.3390/nano11020309

Chicago/Turabian Style

Gu, Jie, Qingzhu Zhang, Zhenhua Wu, Jiaxin Yao, Zhaohao Zhang, Xiaohui Zhu, Guilei Wang, Junjie Li, Yongkui Zhang, Yuwei Cai, and et al. 2021. "Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs" Nanomaterials 11, no. 2: 309. https://doi.org/10.3390/nano11020309

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop