Next Article in Journal
3D Bioprinting of Model Tissues That Mimic the Tumor Microenvironment
Next Article in Special Issue
Reduced Etch Lag and High Aspect Ratios by Deep Reactive Ion Etching (DRIE)
Previous Article in Journal
Editorial for the Special Issue on Analysis, Design and Fabrication of Micromixers
Previous Article in Special Issue
Fabrication of X-ray Gratings for Interferometric Imaging by Conformal Seedless Gold Electroplating
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization

by
Dmitry A. Baklykov
1,*,
Mihail Andronic
1,
Olga S. Sorokina
1,2,
Sergey S. Avdeev
1,2,
Kirill A. Buzaverov
1,2,
Ilya A. Ryzhikov
1,3 and
Ilya A. Rodionov
1,2
1
FMN Laboratory, Bauman Moscow State Technical University, 105005 Moscow, Russia
2
Dukhov Automatics Research Institute, (VNIIA), 127055 Moscow, Russia
3
Institute for Theoretical and Applied Electromagnetics RAS, 125412 Moscow, Russia
*
Author to whom correspondence should be addressed.
Micromachines 2021, 12(5), 534; https://doi.org/10.3390/mi12050534
Submission received: 1 April 2021 / Revised: 30 April 2021 / Accepted: 2 May 2021 / Published: 8 May 2021

Abstract

:
Advanced microsystems widely used in integrated optoelectronic devices, energy harvesting components, and microfluidic lab-on-chips require high-aspect silicon microstructures with a precisely controlled profile. Such microstructures can be fabricated using the Bosch process, which is a key process for the mass production of micro-electro-mechanical systems (MEMS) devices. One can measure the etching profile at a cross-section to characterize the Bosch process quality by cleaving the substrate into two pieces. However, the cleaving process of several neighboring deeply etched microstructures is a very challenging and uncontrollable task. The cleaving method affects both the cleaving efficiency and the metrology quality of the resulting etched microstructures. The standard cleaving technique using a diamond scriber does not solve this issue. Herein, we suggest a highly controllable cross-section cleaving method, which minimizes the effect on the resulting deep etching profile. We experimentally compare two cleaving methods based on various auxiliary microstructures: (1) etched transverse auxiliary lines of various widths (from 5 to 100 μm) and positions; and (2) etched dashed auxiliary lines. The interplay between the auxiliary lines and the etching process is analyzed for dense periodic and isolated trenches sized from 2 to 50 μm with an aspect ratio of more than 10. We experimentally showed that an incorrect choice of auxiliary line parameters leads to silicon “build-up” defects at target microstructures intersections, which significantly affects the cross-section profile metrology. Finally, we suggest a highly controllable defect-free cross-section cleaving method utilizing dashed auxiliary lines with the stress concentrators.

1. Introduction

Micro-electro-mechanical systems (MEMS) are versatile microdevices that are widely used in many areas of human life from scientific research to industry [1,2,3]. Silicon microtechnology based on microelectronic techniques [4] is the key mass production fabrication method for creating microsystems. Compared to planar integrated circuits, MEMS 3D devices are always characterized by unique design (structures size and shape), individual materials stack, and device-friendly fabrication technology. Usually, highly sensitive state-of-the-art MEMS devices require forming a high aspect ratio (more than 20) microstructures with precisely controlled profiles [5,6]. The Bosch process is one of the most popular processes for deep anisotropic reactive ion etching of silicon, which has many modifications and allows one to make high aspect ratio microstructures [7,8,9,10]. The main Bosch process optimization parameters are the elimination of etching defects (“micrograss”, wall erosion, and wall roughness), etching rate nonuniformity, profile angle control over the wafer, etc. In addition, one should pay attention to ARDE (Aspect Ratio Dependent Etching) and microloading effects i.e., the influence of microstructure topology (different widths and shape) on the etching process. A process-dependent feature of the Bosch process is rippled sidewalls of the structures (Figure 1), which are unacceptable for some devices, for example, when creating through-silicon vias (TSV), and these require additional processing [11,12,13,14].
The Bosch process deep silicon etching (hundreds of microns deep) with a high aspect ratio (>20) requires an appropriate choice of protective mask. There are several materials compatible with dry etching processes and suitable for this purpose (Figure 2): silicon dioxide and silicon nitride, metal masks, and photoresists [15,16,17,18,19,20,21,22,23,24]. Particular mask choice can depend on not only selectivity but relies on available equipment and materials in the laboratory. From this point of view, thermal silicon dioxide is one of the most commonly used materials for MEMS devices. On the one hand, it provides high selectivity, which makes it possible to fabricate complex designs and get microscale resolution. On the other hand, silicon dioxide depositions and processing methods are well studied and do not require large resources for optimization [25,26,27]. Moreover, silicon dioxide is not susceptible to overheating during etching compared to photoresist masks and does not have a micromasking effect opposite to using metal hard masks.
There are is a standard sequence of basic operations to fabricate the required device topology on a silicon substrate, which are surface preparation, photoresist spin coating, photolithography, photoresist development, SiO2 etching, photoresist removal, and silicon etching by the Bosch process (Figure 3). Optimizing process windows for each technology step in this route allows selecting the device-dependent fabrication process and fixing design rules for a specific MEMS device type.
One of the challenging issues of the Bosch process optimization is the complex interplay between device design (topology dimensions and shape) and etch parameters. Experimental studies show that the etching rate primarily depends on the trench width; nevertheless, trench length and shape also affect the etching mechanism, but to a smaller degree [28,29]. Furthermore, for higher aspect ratios due to changes in etching mixture supply and removal conditions, the etching rate decreases with increasing depth (ARDE effect) [30]. To etch microstructures with different dimensions and shape, one has to design an etching process with overetch (for through etching of silicon), which needs a lager etching process window and higher selectivity. The overetching step in the process can lead to a notching effect, which takes place when the reactive mixture interacts with the bottom dielectric surface (SiO2) of the substrate [31]. There are several ways to eliminate this defect [32,33,34], but it requires additional process optimization and several additional steps.
Another worth mentioning aspect of the Bosch process is the design pattern density impact on etching itself. According to the paper [35], local pattern density (microloading effect) affects the etching rate within the radius of 4.5 mm decreasing it by 1.5% when the density increases by 10%. There is research [36] showing that with an increase of etchable area (fill factor) from 1% to 95%, the etching rate can change by a factor of 5. Moreover, profile parameters as well as etching rate distribution over the sample (in the center and at the edge) are also changed. On the other hand, there are papers [37,38] demonstrating a small impact of microloading effect compared to the influence of trench width and aspect ratio, which primarily determine the etching process results.
One can notice contradicting results and conclusions when analyzing etching process optimization studies. The reasons for these mismatches lie in an enormous amount of etching process parameters and definition of metrology methods for assessing the etching output characteristics: selectivity, uniformity, etching rate, profile angle, and set of test topologies. First, a set of test structures geometries has to be determined, which is necessary and sufficient to characterize the Bosch etching process. It should contain a set of single lines [34,39], dense lines, and more complex topological structures with different etching depths and pattern densities [40,41,42,43,44,45]. However, despite the wide scope of research forming the standard set of geometries for the Bosch test topology, there is no general approach to cleaving methods for these microstructures in order to get a controllable high-quality sample cross-section, which is critical for optimizing the Bosch deep-etching process.
There are several methods for the controlled cleaving of silicon substrates, for example, preliminary micro-groove formation with subsequent thermal stress cleaving using lasers [46,47,48]. On the other hand, cleaving can be performed by etching v-groove with the sequential use of a diamond scriber [49]. Both approaches involve wet etching at the first stage, which greatly complicates the overall cleaving technique. In addition, such methods can result in destroying microstructures of small sizes. Adding transverse auxiliary lines across all the microstructures is one of the possible solutions to get controlled cleavage [40], but it is unclear if these auxiliary lines affect the dimensions and etching process at intersections. One of the most commonly used methods for cleaving silicon substrates for inspection is diamond scribing across the crystallographic orientation of silicon. In this case, a stressed layer formed with the scriber (Figure 4a) ensures the cleaving direction. However, for deeply etched structures, short scratches at the edge of the substrate do not provide effective cleaving; since such structures tend to fracture, the cleaving direction tends to be uncontrolled. As the result, one needs to use long scratches through target microstructures for better control of the cleaving (Figure 4b), but microstructures metrology suffers.
Despite the relatively simple procedure of long scratch, the subsequent characterization of microstructures after the Bosch process becomes challenging. In most cases, particularly for micrometer-scale structures, their upper part is destroyed after diamond scribing with damage spreading downward due to a large number of stress concentrators (Figure 5a). That is why this cleaving method is not suitable for further cross-section analysis. Moreover, at higher local density (smaller pitch) of narrow microstructures, etched silicon structures destruction can be observed during splitting or etching of the sample (Figure 5b). Hence, the high-quality metrology method, which guarantees reproducible cleaving process and cross-section profile measurements for various types of microstructures, is required.
As mentioned above, adding transverse auxiliary lines that are etched simultaneously with test structures can be successfully used for the controlled cleaving technique [40]. However, there are a few unobvious points. It is worth noticing that cross-section measurements of target microstructures are performed directly at these intersections and can cause extremely big critical dimensions metrology errors. In this paper, we present our research of interplay between different auxiliary cleaving elements and target microstructures with dimensions from 2 to 50 μm. We experimentally compare two cleaving methods utilizing various auxiliary microstructures: (1) etched transverse auxiliary lines of various widths (from 5 to 100 μm) and positions crossing target microstructures; (2) etched dashed auxiliary lines. Based on this research, we propose a highly controllable defect-free cross-section cleaving method utilizing dashed auxiliary lines with the stress concentrators for reliable Bosch deep etching process optimization.

2. Materials and Methods

In all the experiments, we used 25 × 25 mm2 substrates diced from 100 mm diameter p-type silicon wafers (10–20 Ω·cm) with the crystal orientation of <100>. Thermal oxide with a thickness of 4 μm was deposited to be used as a hard mask layer. To pattern the silicon dioxide layer, we used 4 μm thick Megaposit SPR220 photoresist. Pattern transfer processes were carried out using a Heidelberg Instruments (Heidelberg, Germany) μPG101 laser lithography system. Topology transferring to a protective mask (SiO2) through SPR220 photoresist was carried out using reactive ion etching in a CHF3/Ar gases using an Oxford PlasmaPro100 etcher. The inductively coupled plasma (ICP) etcher also implemented the Bosch process. Optical microscopy and field emission scanning electron microscopy were used to measure critical dimensions both from the top surface of the samples and cross-sections for the Bosch process quality control.
For deep anisotropic silicon etching, we used a three-stage Bosch process with steps of passivation, breakthrough, and etching. The breakthrough step was designed to remove the polymer predominantly from the bottom of structures characterized by a higher displacement to the substrate. C4F8 was chosen as the passivating gas, the main etching gas was SF6; for better removal of the polymer from the bottom of the trenches, O2 was added at the stage of breakthrough and etching. The operating temperature during the process was 5 °C. The key parameters of the deep reactive ion etching (DRIE) process are listed in Table 1.
Two main methods of creating auxiliary cleaving lines (Figure 6) for the Bosch process characterization were chosen to compare their influence on target microstructures with sizes from 2 to 50 μm. In the first and second cases, dashed and transverse auxiliary crossing lines were formed during the etch process, and then substrates were cleaved along these lines. The key difference between these cases is an absence of a direct intersection between auxiliary lines and target microstructures. We analyzed various designs of stress concentrators (Figure 6a) for dashed auxiliary cleaving lines. The degree of stress increase depends primarily on the type and shape of the auxiliary line. The greater the cross-sectional difference in the transition section and the sharper the transitions and undercuts, the higher the local maximum stress occurs [50]. We choose angular structure as the main shape because it provides up to 5 times higher maximum stress than the nominal one.
In order to investigate the influence of the sample cleaving method, a test topology was developed, which include the set of lines (with widths (W) from 2 to 50 μm and lengths (L) of 1 mm) with ratio (width (W) to distance between lines (D)) of 1:1 and 1:10 for each standard size. For all the structures with dashed auxiliary lines, the line width and the distance from the line to the target microstructure was 20 μm (Figure 7a). For the structures with transverse crossing lines, the width of the auxiliary line (S) was chosen as 5, 50, and 100 μm (Figure 7b). In all cleaving methods, the distance from the edge of the substrate to the test lines was at least 7 mm.
In addition, we tried to vary the position of the auxiliary line relative to target microstructures (Figure 8c). A detailed list of the test structures is given in Table 2 and Figure 8.
We decided to set target microstructures with dashed auxiliary lines as a reference for comparison with other types of lines (Figure 8b,c) because they have no direct intersections with auxiliary lines (Figure 8a) and evidentially have no additional influence during the etching process. It should be noted that fixed values of the dashed auxiliary line width of 20 μm (S) and the distance to the microstructure were chosen. When selecting it, we were guided by the fact that auxiliary lines should be deep enough after etching and close enough to target microstructures to control the cleaving. On the other hand, it should be as narrow as possible to minimize its influence on the etching process of target microstructures.
We observed the destruction of 2 μm target lines with W:D = 1:1 ratio due to their long length (1000 μm), which is not always the case for real MEMS devices (Figure 5b). We found out that this destruction was caused by 2 μm structures weakness; they started bending under their weight and additional reaction with process gases mixture.

3. Results

In this study, we performed a series of Bosch deep etching experiments to compare the influence of cleaving methods on the quality and values of measured out process parameters. The etch rate (V) was calculated as the total etch depth per number of Bosch cycles. Selectivity was calculated as the ratio between silicon etching rates and silicon dioxide etching rates. The profile angle (A) demonstrates the structure profile, when A is less than 90, it tapers; when A is more than 90, it expands.
Table 3 shows the data for target lines from 2 to 50 μm with W:D = 1:1 ratio, and Table 4—data for target lines with W:D = 1:10 ratio. The etching depth for 5 μm target lines was at least 250 μm, and for 50 μm target lines, it was at least 500 μm in all the experiments. That results in a maximum aspect ratio greater than 50 and a minimum aspect ratio of at least 10.
Target microstructures with dashed auxiliary lines were chosen as a reference for comparison with other types of lines, as they have no direct intersections with auxiliary lines and have no additional treatment during etching.
A pretty general tendency of increasing the etching rate with increased target line width can be observed on the graphs (Figure 9) based on the tabular data (Table 3 and Table 4). One can easily notice a huge difference in data and curve character for the same target microstructures depends on the cleaving method.
In general, we can see no tendency for the target line’s width to influence its profile angle (Figure 10). However, one can observe a clear dependence of cleaving method on the profile angle, as the auxiliary transverse lines influence differently the target line profiles.
Figure 9 and Figure 10 demonstrate direct the evidence that the cleaving method can result in both quantitative and qualitative mistakes in Bosch deep etching process research. It is very important to estimate the influence of metrology techniques on Bosch deep etching process output parameters and carefully choose nondestructive auxiliary lines for efficient cleaving.

4. Discussion

4.1. Influence of the Auxiliary Cleaving Lines on the Etching Rate

Based on the results obtained on etching rates for target microstructures with different types of auxiliary line intersection, we found out that for the sub-10 μm target lines etching rate becomes higher without intersection (dashed reference line, S = 20 μm). At the same time, for >10 μm target lines, the highest etching rate is observed when crossing with wide auxiliary lines (S = 50 μm and S = 100 μm) and the lowest, on the contrary, is observed for reference dashed auxiliary lines (S = 20 μm, Figure 11).
In addition, for transverse crossing auxiliary lines, a steplike transition in etching rate is observed in the range from 10 to 20 μm (up to 85%), which indicates a qualitative change in the etching process. For target microstructures without intersection with auxiliary lines, the maximum etching rate change in the range from 10 to 20 μm is only about 15%.

4.2. Influence of the Auxiliary Cleaving Lines on Etching Behavior

While analyzing a lot of target microstructures cross-sections with scanning electron microscopy (for different cleaving methods), we observed clear evidence and the source of change in etching behavior. We noticed that target lines with dashed auxiliary lines (reference, without intersection) have no profile narrowing during etching when the etching depth becomes bigger. Their shape was retained until the end of the etching. On the other hand, when switching to S = 5 µm transverse auxiliary lines, the “second” structure profile appeared (Figure 12b) at the intersection with the target structure, forming a narrower trench. For wider transverse auxiliary lines, for example, S = 100 μm, significant narrowing of the profile took place—up to complete stop of the etching process, which was observed for W < 20 μm in many cases (Figure 12c).
This change in etching behavior is associated with silicon “build-up” defects forming during the Bosch deep etching process. “Build-up” defects occur due to increased polymer formation at intersection areas, which prevents target microstructures etching and leads to the narrowing of trenches gradually. The reason is a local area of intersection, where additional geometry appears in the form of corners of target microstructures. Corners are subjected to additional action of ions on each side, which leads to more efficient polymer formation due to sticking coefficient increase [51,52,53]; moreover, the character of supply and removal of the gases mixture into the trench changes. Additionally, big grooves are formed at the bottom of the structure in the area of intersection, which may indicate local charge forming (on dielectric “build-up” defects) and etching particles deflection forming an additional etched area around (Figure 13).
It is well known that narrowing defects of the target microstructures can be the result of non-optimal Bosch process parameters, but not the geometry features of auxiliary lines. However, in this case, a comparison of the lines with and without crossing auxiliary lines showed that in the intersection area without crossing, there are no “build-up” defects or it is much fewer (Figure 14).
Thinking about industry applications, one can notice that there are no devices with straight lines only in topology. Therefore, when designing a test topology set or developing devices, it is important to precisely control intersection areas and any deviations of the shape from a straight line. Polymerization at the intersections during the Bosch deep etching process is the most critical for topologies with microscale critical dimensions (Figure 15).

4.3. Influence of the Position of the Auxiliary Cleaving Lines

We experimentally confirmed that the position of transverse crossing auxiliary lines (S = 100 μm) also affects the trench etching process. The etching rate becomes higher when target structures intersect transverse crossing auxiliary lines at the edge for both W:D = 1:1 and W:D = 1:10. At the areas where the array of target lines switches to single target lines, a significant narrowing of trenches is observed. This effect is the result of increased polymerization in the Bosch process due to a local decrease in structure density at the intersections with the constant supply of gas mixture at the same time. For topologies with a centered position of transverse crossing auxiliary lines (S = 100 μm), the profiles of target structures for W:D = 1:1 and W:D = 1:10 are almost the same.

4.4. Influence of the Auxiliary Cleaving Lines on the Profile Angle

The influence of “build-up” defects on profile angle at the intersections of target structures with transverse crossing auxiliary lines is significant. Comparing the graphs (Figure 9 and Figure 10), one can see that on average, target structures with transverse crossing auxiliary lines are much narrower than structures without intersection. The graph (Figure 16) shows the relative narrowing of the structures profile per its width.
It should be noted that for sub-20μm trenches with transverse-crossing auxiliary lines, profile narrowing can reach up to 85% from the original trench width, and for structures wider than 20 μm, it can reach up to 40%. For lines without intersections, the maximum deviation does not reach more than 12% of the original trench width.

4.5. Influence of the Distance between Target Microstructures

For target microstructures without intersection (dashed auxiliary lines S = 20 μm), the maximum difference between the etching rates for W:D = 1:1 and W:D = 1:10 was 2.5% for W = 10 μm. The change in the profile angle for this type of target line was also minor. As the width of transverse crossing auxiliary lines increases (from S = 5 μm to S = 100 μm), the difference between single lines (W:D = 1:10) and an array of lines (W:D = 1:1) become bigger from 5% to 33%, which indicates a large contribution of line density to the etching pattern. It is also worth noting that for most types of intersecting structures (transverse crossing auxiliary lines), the etching rate is higher at W:D = 1:1. This phenomenon may be associated with a change in the distribution mechanism of the polymer. With an increase in the local density of trenches, the depletion of the active polymer mixture occurs, and consequently, the etching rate increases.

5. Conclusions

Various types of auxiliary lines for controlled cleaving of DRIE silicon substrate were analyzed and tested for target microstructures with a width from 2 to 50 μm and an aspect ratio of more than 10. The most common cleaving method utilizing crystallography orientated scratch features in silicon (using diamond scriber) is uncontrollable, not reproducible, and not accurate enough for many types of microstructures. Cleaving methods based on transverse auxiliary lines for splitting substrates with microstructures are much more reliable and accurate. In this article, we experimentally confirmed that the intersection areas of target microstructures with auxiliary lines lead to narrowing of the profile during the Bosch process due to a change in supply and removal flows of polymerizing gas mixture. The wider the auxiliary lines, the narrower the target structures at the intersections. According to our experiments, the best cleaving results for all types of target microstructures can be received with dashed auxiliary lines with sharp end-forming stress concentrators. This cleaving method makes it possible to control the characteristics of the sample without adding etching defects. The etching behavior of single target lines and dense target lines (arrays) changed in case of intersecting transverse crossing auxiliary lines but remained unchanged for the dashed auxiliary line.

Author Contributions

Conceptualization, D.A.B. and M.A.; methodology, D.A.B. and M.A.; validation, D.A.B., M.A., I.A.R. (Ilya A. Ryzhikov) and I.A.R. (Ilya A. Rodionov); formal analysis, D.A.B., K.A.B.; investigation, D.A.B., O.S.S., M.A., I.A.R. (Ilya A. Ryzhikov) and I.A.R. (Ilya A. Rodionov); writing—original draft preparation, D.A.B.; writing—review and editing, I.A.R. (Ilya A. Rodionov); visualization, D.A.B., S.S.A. and O.S.S.; supervision, I.A.R. (Ilya A. Rodionov); funding acquisition, I.A.R. (Ilya A. Rodionov). All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Acknowledgments

Technology was developed and samples were made at the BMSTU Nanofabrication Facility (FMNS REC (Functional Micro/Nanosystems Research and Educational Center), ID 74300).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Castañer, L. Understanding Mems: Principles and Applications; John Wiley & Sons: Hoboken, NJ, USA, 2015. [Google Scholar]
  2. Zhou, G.; Lee, C. Optical MEMS, Nanophotonics, and Their Applications; CRC Press: Boca Raton, FL, USA, 2017. [Google Scholar]
  3. Choudhary, V.; Iniewski, K.I. Mems: Fundamental Technology and Applications; CRC Press: Boca Raton, FL, USA, 2017. [Google Scholar]
  4. Androniс, M.M.; Rodionov, I.A.; Tsvetkov, Y.B. Digital design as a key approach to shortening MEMS development cycle. In Proceedings of the ITM Web of Conferences, Moscow, Russia, 28–29 November 2019. [Google Scholar]
  5. Bhardwaj, J.; Ashraf, H.; McQuarrie, A. Dry silicon etching for MEMS. Symp. Microstruct. Microfabr. Syst. 1997, 1–13. Available online: http://luxor.quantumlabs.co/home/admin/QUANTUMFAB1/PUBLICATIONS/Macropore%20Formation/dry_si_etching.pdf (accessed on 5 May 2020).
  6. Rangelow, I.W. Critical tasks in high aspect ratio silicon dry etching for microelectromechanical systems. J. Vac. Sci. Technol. A Vac. Surf. Films. 2003, 21, 1550–1562. [Google Scholar] [CrossRef]
  7. Laermer, F.; Andrea, U. MEMS at Bosch–Si plasma etch success story, history, applications, and products. Plasma Proc. Polym. 2019, 16, 1800207. [Google Scholar] [CrossRef]
  8. Chang, B.; Jensen, F.; Hübner, J.; Jansen, H. DREM2: A facile fabrication strategy for freestanding three dimensional silicon micro-and nanostructures by a modified Bosch etch process. J. Micromech Microeng. 2018, 28, 105012. [Google Scholar] [CrossRef] [Green Version]
  9. Westerman, R.; Martinez, L.; Pays-Volard, D.; Mackenzie, K.; Lazerand, T. Deep silicon etching: Current capabilities and future directions. Micromach. Microfabr. Proc. Technol. XIX Int. Soc. Optics Photonics 2014, 8973, 897309. [Google Scholar]
  10. Marty, F.; Rousseau, L.; Saadany, B.; Mercier, B.; Français, O.; Mita, Y.; Bourouina, T. Advanced etching of silicon based on deep reactive ion etching for silicon high aspect ratio microstructures and three-dimensional micro-and nanostructures. Microelectron. J. 2005, 36, 673–677. [Google Scholar] [CrossRef]
  11. Ranganathan, N.; Lee, D.Y.; Youhe, L.; Lo, G.Q.; Prasad, K.; Pey, K.L. Influence of Bosch etch process on electrical isolation of TSV structures. IEEE Trans. Components Packaging Manuf. Technol. 2011, 1, 1497–1507. [Google Scholar] [CrossRef]
  12. Gao, F.; Ylinen, S.; Kainlauri, M.; Kapulainen, M. Smooth silicon sidewall etching for waveguide structures using a modified Bosch process. J. Micro/Nanolithogr. MEMS MOEMS 2014, 13, 013010. [Google Scholar] [CrossRef]
  13. Fu, J.; Li, J.; Yu, J.; Liu, R.; Li, J.; Wang, W.; Chen, D. Improving sidewall roughness by combined RIE-Bosch process. Mater. Sci. Semiconduct. Process. 2018, 83, 186–191. [Google Scholar] [CrossRef]
  14. Mohammed, Z.A.S.; Olimpo, M.A.S.; Poenar, D.P.; Aditya, S. Smoothening of scalloped DRIE trench walls. Mater. Sci. Semiconduct. Process. 2017, 63, 83–89. [Google Scholar] [CrossRef]
  15. Etching, B. A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon. Power 2009. Available online: https://www.nanofab.ualberta.ca/wp-content/uploads/2009/03/primer_deepsiliconrie.pdf (accessed on 5 May 2021).
  16. Shearn, M.; Sun, X.; Henry, M.D.; Yariv, A.; Scherer, A. Advanced plasma processing: Etching, deposition, and wafer bonding techniques for semiconductor applications. In Semiconductor Technologies; Grym, J., Ed.; Intech: Rijeka, Croatia, 2010; pp. 79–104. [Google Scholar]
  17. Huber, R.; Conrad, J.; Schmitt, L.; Hecker, K.; Scheurer, J.; Weber, M. Fabrication of multilevel silicon structures by anisotropic deep silicon etching. Microelectron. Eng. 2003, 67, 410–416. [Google Scholar] [CrossRef]
  18. Bagolini, A.; Ronchin, S.; Bellutti, P.; Chistè, M.; Verotti, M.; Belfiore, N.P. Fabrication of novel MEMS microgrippers by deep reactive ion etching with metal hard mask. J. Microelectromech. Syst. 2017, 26, 926–934. [Google Scholar] [CrossRef]
  19. Rahiminejad, S.; Cegielski, P.; Abassi, M.; Enoksson, P. A four level silicon microstructure fabrication by DRIE. J. Micromech. Microeng. 2016, 26, 084003. [Google Scholar] [CrossRef]
  20. Ganji, B.A.; Majlis, B.Y. Deep trenches in silicon structure using DRIE method with aluminum as an etching mask. In Proceedings of the 2006 IEEE International Conference on Semiconductor Electronics, Kuala Lumpur, Malaysia, 29 October–1 December 2006; pp. 41–47. [Google Scholar]
  21. Aydinoglu, F.; Saffih, F.; Dey, R.K.; Cui, B. Chromium oxide as a hard mask material better than metallic chromium. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenomena. 2017, 35, 06GB01. [Google Scholar] [CrossRef]
  22. Grigoras, K.; Sainiemi, L.; Tiilikainen, J.; Säynätjoki, A.; Airaksinen, V.; Franssila, S. Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique. J. Physics Conf. Series IOP Publ. 2007, 61, 369. [Google Scholar] [CrossRef] [Green Version]
  23. Liu, Z.; Iltanen, K.; Chekurov, N.; Grigoras, K.; Tittonen, I. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching. Nanotechnology 2013, 24, 175304. [Google Scholar] [CrossRef]
  24. Henry, M.D. Alumina etch masks for fabrication of high-aspect-ratio silicon micropillars and nanopillars. Nanotechnology 2009, 20, 255–305. [Google Scholar] [CrossRef] [PubMed]
  25. Hayashi, S.; Yamanaka, M.; Nakagawa, H.; Kubota, M.; Ogura, M. SiO2 etching using inductively coupled plasma. Electron. Comm. Jpn. 1998, 81, 21–29. [Google Scholar] [CrossRef]
  26. Alam, A.K. Etching Process Development of SiO2 Etching Using Inductively Coupled Plasma. Master’s Thesis, University of Eastern Finland, Department of Physics, Joensuu, Kuopio, Finland, 2015. [Google Scholar]
  27. Gaboriau, F.; Cartry, G.; Peignon, M.C.; Cardinaud, C. Selective and deep plasma etching of SiO2: Comparison between different fluorocarbon gases (CF4, C2F6, CHF3) mixed with CH4 or H2 and influence of the residence time. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenomena 2002, 20, 1514–1521. [Google Scholar] [CrossRef]
  28. Chung, C.K. Geometrical pattern effect on silicon deep etching by an inductively coupled plasma system. J. Micromech. Microeng. 2004, 14, 656. [Google Scholar] [CrossRef]
  29. Xu, T.; Tao, Z.; Li, H.; Tan, X.; Li, H. Effects of deep reactive ion etching parameters on etching rate and surface morphology in extremely deep silicon etch process with high aspect ratio. Adv. Mech. Eng. 2017, 9, 1687814017738152. [Google Scholar] [CrossRef] [Green Version]
  30. Yeom, J.; Wu, Y.; Selby, J.C.; Shannon, M.A. Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenomena 2005, 23, 2319–2329. [Google Scholar] [CrossRef]
  31. Lai, S.; Srinivasan, S.; Westerman, R.J.; Johnson, D.; Nolan, J.J. Notch reduction in silicon on insulator (SOI) structures using a time division multiplex etch processes. In Proceedings of the MOEMS-MEMS Micro and Nanofabrication, San Jose, CA, USA, 22–27 January 2005; pp. 33–38. [Google Scholar]
  32. Kim, K.H.; Kim, S.C.; Park, K.Y.; Yang, S.S. DRIE fabrication of notch-free silicon structures using a novel silicon-on-patterned metal and glass wafer. J. Micromech. Microeng. 2011, 21, 045018. [Google Scholar] [CrossRef]
  33. Hong, P.; Guo, Z.; Yang, Z.; Yan, G. A method to reduce notching effect on the anchors of a micro-gyroscope. In Proceedings of the 6th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, Kaohsiung, Taiwan, 20–23 February 2011; pp. 338–341. [Google Scholar]
  34. Summanwar, A.; Neuilly, F.; Bourouina, T. Elimination of notching phenomenon which occurs while performing deep silicon etching and stopping on an insulating layer. In Proceedings of the 2008 Ph.D. Research in Microelectronics and Electronics, Istanbul, Turkey, 22 June–25 April 2008; pp. 129–132. [Google Scholar]
  35. Jensen, S.; Hansen, O. Characterization of the microloading effect in deep reactive ion etching of silicon. Micromach. Microfabr. Process. Technol. IX Int. Soc. Optics Photonics 2003, 5342, 111–118. [Google Scholar]
  36. Taylor, H.K.; Sun, H.; Hill, T.F.; Farahanchi, A.; Boning, D.S. Characterizing and predicting spatial nonuniformity in the deep reactive ion etching of silicon. J. Electrochem. Soc. 2006, 153, 575. [Google Scholar] [CrossRef]
  37. Karttunen, J.; Kiihamaki, J.; Franssila, S. Loading effects in deep silicon etching. Micromach. Microfabr. Process. Technol. VI Int. Soc. Optics Photonics 2000, 4147, 90–97. [Google Scholar]
  38. Yeom, J.; Wu, Y.; Shannon, M.A. Critical aspect ratio dependence in deep reactive ion etching of silicon. In Proceedings of the 12th International Conference on Solid-State Sensors, Actuators and Microsystems. Digest of Technical Papers, Boston, MA, USA, 8–12 June 2003; pp. 1631–1634. [Google Scholar]
  39. Blauw, M.A. Deep Anisotropic Dry Etching of Silicon Microstructures by High-Density Plasmas, 2004. Available online: https://www.narcis.nl/publication/RecordID/oai:tudelft.nl:uuid:dbb050db-a834-47df-970e-208d6caf8bb3 (accessed on 5 May 2021).
  40. Tang, Y.; Sandoughsaz, A.; Owen, K.J.; Najafi, K. Ultra deep reactive ion etching of high aspect-ratio and thick silicon using a ramped-parameter process. J. Microelectromech. Syst. 2018, 27, 686–697. [Google Scholar] [CrossRef]
  41. Farahanchi, A. Characterization and Modeling of Pattern Dependencies and Time Evolution in Plasma Etching. Master’s Thesis, Deptartment of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, MA, USA, June 2009. [Google Scholar]
  42. Slabbekoorn, J.; Schepers, B.; Gavan, K.B.; Sardo, S.; Van Huylenbroeck, S.; Vandeweyer, T.; Ranjan, M. Bosch process characterization for donut TSV’s. In Proceedings of the Eleventh International Wafer-Level Packaging Conference, San Jose, CA, USA, 11–13 November 2014. [Google Scholar]
  43. Lai, S.L.; Johnson, D.; Westerman, R. Aspect ratio dependent etching lag reduction in deep silicon etch processes. J. Vac. Sci. Technol. A Vac. Surf. Films 2006, 24, 1283–1288. [Google Scholar] [CrossRef] [Green Version]
  44. Abdolvand, R.; Ayazi, F. An advanced reactive ion etching process for very high aspect-ratio sub-micron wide trenches in silicon. Sens. Actuators A Phys. 2008, 144, 109–116. [Google Scholar] [CrossRef]
  45. Meng, L.; Yan, J. Effect of process parameters on sidewall damage in deep silicon etch. J. Micromech. Microeng. 2015, 25, 035024. [Google Scholar] [CrossRef]
  46. Saman, A.M.; Furumoto, T.; Ueda, T.; Hosokawa, A. A study on separating of a silicon wafer with moving laser beam by using thermal stress cleaving technique. J. Mater. Process. Technol. 2015, 223, 252–261. [Google Scholar] [CrossRef]
  47. Lee, J.H.; Nam-Seung, K.; Jung-Hee, L. Development of chip separation technique for InGaN-based light emitting diodes. IEEE J. Q. Electron. 2011, 47, 1493–1498. [Google Scholar]
  48. Haupt, O.; Schuetz, V.; Schoonderbeek, A.; Richter, L.; Kling, R. High quality laser cleaving process for mono-and polycrystalline silicon. Laser Based Micro Nanopackaging Assembly III Int. Soc. Optics Photonics 2009, 7202, 72020G. [Google Scholar]
  49. Figueroa, V. Designing a Mechanism to Cleave Silicon Wafers. Bachelor’s Thesis, Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, MA, USA, 2004. [Google Scholar]
  50. Volosukhin, V.A.; Logvinov, V.B.; Evtushenko, S.I. Strength of Materials: A Textbook; RIOR Publishing Center: Moscow, Russia, 1967; ISBN 978-5369011591. [Google Scholar]
  51. Johnson, C.W.; Johnson, D.; Martinez, L.; Plumhoff, J. Systematic approach to time division multiplexed Si etch process development. ECS Trans. 2013, 50, 11. [Google Scholar] [CrossRef]
  52. Saraf, I.R.; Goeckner, M.J.; Goodlin, B.E.; Kirmse, K.H.; Nelson, C.T.; Overzet, L.J. Kinetics of the deposition step in time multiplexed deep silicon etches. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenomena 2013, 31, 011208. [Google Scholar] [CrossRef]
  53. Labelle, C.B.; Donnelly, V.M.; Bogart, G.R.; Opila, R.L.; Kornblit, A. Investigation of fluorocarbon plasma deposition from c-C 4 F 8 for use as passivation during deep silicon etching. J. Vac. Sci. Technol. A Vac. Surf. Films 2004, 22, 2500–2507. [Google Scholar]
Figure 1. Schematic view of cross-section profile after the Bosch process silicon etching.
Figure 1. Schematic view of cross-section profile after the Bosch process silicon etching.
Micromachines 12 00534 g001
Figure 2. Basic protective masks for the Bosch process and their selectivity.
Figure 2. Basic protective masks for the Bosch process and their selectivity.
Micromachines 12 00534 g002
Figure 3. The sequence of operations for creating trenches in silicon.
Figure 3. The sequence of operations for creating trenches in silicon.
Micromachines 12 00534 g003
Figure 4. The standard approach for sample cleaving with high trenches in silicon: (a) Small scratch near the trench; (b) Long scratch crossing the trench.
Figure 4. The standard approach for sample cleaving with high trenches in silicon: (a) Small scratch near the trench; (b) Long scratch crossing the trench.
Micromachines 12 00534 g004
Figure 5. Scanning electron microscopy (SEM) images of cleaving defects: (a) Destruction of the upper part of the microstructure, which spreads downward due to the presence of a large number of stress concentrators; (b) Bending and destruction of 2-μm structures under their weight due to additional etching at the intersection with auxiliary lines.
Figure 5. Scanning electron microscopy (SEM) images of cleaving defects: (a) Destruction of the upper part of the microstructure, which spreads downward due to the presence of a large number of stress concentrators; (b) Bending and destruction of 2-μm structures under their weight due to additional etching at the intersection with auxiliary lines.
Micromachines 12 00534 g005
Figure 6. Two methods of forming auxiliary cleaving lines: (a) Etched dashed auxiliary line with the stress concentrators; (b) Transverse etched auxiliary crossing line.
Figure 6. Two methods of forming auxiliary cleaving lines: (a) Etched dashed auxiliary line with the stress concentrators; (b) Transverse etched auxiliary crossing line.
Micromachines 12 00534 g006
Figure 7. Typical dimensions of the test topology: (a) Dashed auxiliary lines; (b) Transverse crossing auxiliary lines.
Figure 7. Typical dimensions of the test topology: (a) Dashed auxiliary lines; (b) Transverse crossing auxiliary lines.
Micromachines 12 00534 g007
Figure 8. Test topology appearance: (a) Dashed auxiliary line (reference); (b) Transverse crossing auxiliary line in the center; (c) Transverse crossing auxiliary line on the edge.
Figure 8. Test topology appearance: (a) Dashed auxiliary line (reference); (b) Transverse crossing auxiliary line in the center; (c) Transverse crossing auxiliary line on the edge.
Micromachines 12 00534 g008
Figure 9. Etching rate depending on the target lines width: (a) For W:D = 1:1 aspect ratio; (b) For W:D = 1:10 aspect ratio.
Figure 9. Etching rate depending on the target lines width: (a) For W:D = 1:1 aspect ratio; (b) For W:D = 1:10 aspect ratio.
Micromachines 12 00534 g009
Figure 10. Profile angle depending on the target lines width: (a) For W:D = 1:1 aspect ratio; (b) For W:D = 1:10 aspect ratio.
Figure 10. Profile angle depending on the target lines width: (a) For W:D = 1:1 aspect ratio; (b) For W:D = 1:10 aspect ratio.
Micromachines 12 00534 g010
Figure 11. Etching rate change depending on cleaving auxiliary lines type and target lines width.
Figure 11. Etching rate change depending on cleaving auxiliary lines type and target lines width.
Micromachines 12 00534 g011
Figure 12. Twenty μm wide target lines profiles comparison for different cleaving lines: (a) Dashed auxiliary line (reference); (b) 5 μm wide transverse crossing auxiliary lines (S = 5 μm); (c) 100 μm wide transverse crossing auxiliary lines (S = 100 μm).
Figure 12. Twenty μm wide target lines profiles comparison for different cleaving lines: (a) Dashed auxiliary line (reference); (b) 5 μm wide transverse crossing auxiliary lines (S = 5 μm); (c) 100 μm wide transverse crossing auxiliary lines (S = 100 μm).
Micromachines 12 00534 g012
Figure 13. SEM images of “build-up” defects and etched groove formation in the area of intersection target microstructures and 20 μm wide transverse crossing auxiliary lines.
Figure 13. SEM images of “build-up” defects and etched groove formation in the area of intersection target microstructures and 20 μm wide transverse crossing auxiliary lines.
Micromachines 12 00534 g013
Figure 14. Top view SEM images of the intersection area: (a) Transverse crossing auxiliary lines; (b) Dashed auxiliary lines.
Figure 14. Top view SEM images of the intersection area: (a) Transverse crossing auxiliary lines; (b) Dashed auxiliary lines.
Micromachines 12 00534 g014
Figure 15. Polymerization at the intersections of transverse crossing auxiliary lines (S = 100 μm) with: (a) 5 μm wide target line; (b) 50 μm wide target line.
Figure 15. Polymerization at the intersections of transverse crossing auxiliary lines (S = 100 μm) with: (a) 5 μm wide target line; (b) 50 μm wide target line.
Micromachines 12 00534 g015
Figure 16. The relative narrowing of the profile per trench width depending on the type of cleaving line.
Figure 16. The relative narrowing of the profile per trench width depending on the type of cleaving line.
Micromachines 12 00534 g016
Table 1. Deep reactive ion etching (DRIE) parameters of the used recipe in this study.
Table 1. Deep reactive ion etching (DRIE) parameters of the used recipe in this study.
StepInductively Coupled Plasma (ICP) (W)Radiofrequency (RF) (W)Pressure (mTorr)Process Gases
Passivation1200–1500520C4F8/SF6/O2
mixture
Breakthrough5030
Etching540
Table 2. Description of the test structure dimensions.
Table 2. Description of the test structure dimensions.
Type of Cleaving LinesCleaving Lines Width
(S), μm
Target Line Width (W), μmTarget Line Length (L), μm
Dashed auxiliary lines20251020501000
Transverse crossing auxiliary lines550100
Table 3. Deep etching experimental results for target microstructures with aspect ratio W:D = 1:1.
Table 3. Deep etching experimental results for target microstructures with aspect ratio W:D = 1:1.
Type of Cleaving Auxiliary LinesProcess ParameterTarget Lines Width
2 μm *5 μm10 μm20 μm50 μm
Dashed auxiliary lines (Reference)
(S = 20 μm)
V, μm/cycle-0.2920.3560.4110.527
Selectivity-126154178243
A, degree-89.9490.0690.2190.38
Transverse auxiliary lines
(S = 5 μm)
V, μm/cycle-0.2820.3550.4120.516
Selectivity-122153178223
A, degree-89.3990.4590.2890.41
Transverse auxiliary lines
(S = 50 μm)
V, μm/cycle-0.2370.3400.5190.533
Selectivity-102147225231
A, degree-89.5189.2689.4690.07
Transverse auxiliary lines
(S = 100 μm, center)
V, μm/cycle-0.2630.2680.4920.501
Selectivity-114116213217
A, degree-89.4988.9888.9689.56
Transverse auxiliary lines
(S = 100 μm, edge)
V, μm/cycle0.1650.2490.3560.5330.534
Selectivity72108154231231
A, degree-89.3889.3589.4489.43
* Target line with the width of 2 μm was broken.
Table 4. Deep etching experimental results for target microstructures with aspect ratio W:D = 1:10.
Table 4. Deep etching experimental results for target microstructures with aspect ratio W:D = 1:10.
Type of Cleaving LineProcess ParameterTarget Lines Width
2 μm5 μm10 μm20 μm50 μm
Dashed auxiliary lines (Reference)
(S = 20 μm)
V, μm/cycle0.2300.2870.3460.4170.523
Selectivity93116140168211
A, degree89.5889.8789.9890.1590.35
Transverse auxiliary lines
(S = 5 μm)
V, μm/cycle0.1670.2830.3490.4320.510
Selectivity72123151187221
A, degree89.3490.8390.3289.3889.93
Transverse auxiliary lines
(S = 50 μm)
V, μm/cycle0.2850.2240.3190.4860.524
Selectivity12397138210227
A, degree89.8089.4989.2088.5789.28
Transverse auxiliary lines
(S = 100 μm, center)
V, μm/cycle0.1170.1750.2620.4590.519
Selectivity5176113199225
A, degree89.7289.4489.3688.9289.36
Transverse auxiliary lines
(S = 100 μm, edge)
V, μm/cycle0.1450.2240.3270.4370.548
Selectivity6397141189237
A, degree89.7289.6189.2088.4188.68
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Baklykov, D.A.; Andronic, M.; Sorokina, O.S.; Avdeev, S.S.; Buzaverov, K.A.; Ryzhikov, I.A.; Rodionov, I.A. Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization. Micromachines 2021, 12, 534. https://doi.org/10.3390/mi12050534

AMA Style

Baklykov DA, Andronic M, Sorokina OS, Avdeev SS, Buzaverov KA, Ryzhikov IA, Rodionov IA. Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization. Micromachines. 2021; 12(5):534. https://doi.org/10.3390/mi12050534

Chicago/Turabian Style

Baklykov, Dmitry A., Mihail Andronic, Olga S. Sorokina, Sergey S. Avdeev, Kirill A. Buzaverov, Ilya A. Ryzhikov, and Ilya A. Rodionov. 2021. "Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization" Micromachines 12, no. 5: 534. https://doi.org/10.3390/mi12050534

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop