Neuromorphic Computing Using Emerging Synaptic Devices: A Retrospective Summary and an Outlook
Abstract
:1. Introduction
2. Neuromorphic Computing
2.1. History of Neuromorphic Computing
2.2. An Outlook of Neuromorphic Computing
3. Synaptic Emerging Memory Devices
3.1. PRAM: Phase-Change Synaptic Devices
3.2. Reram: Filament Type Synaptic Devices
3.3. MRAM: Spintronic Synaptic Devices
3.4. ASN (Atomic Switch Network): Network-Based Synaptic Device
3.5. Approximating Computing Using Emerging Synaptic Devices
4. Conclusions
Funding
Conflicts of Interest
Abbreviations
AI | artificial Intelligence |
GPU | graphics processing unit |
ASIC | application-specific integrated circuit |
DRAM | dynamic random-access memory |
SRAM | static random-access memory |
CMOS | complementary metal–oxide–semiconductor |
XOR | exclusive OR |
PRAM | phase-change RAM |
ReRAM | resistive RAM |
HRS | high resistance state |
LRS | low resistance state |
MRAM | magnetic RAM |
FL | free layer |
PL | pinned layer |
STT-RAM | spin torque transfer RAM |
MTJ | magnetic tunnel junction |
CAGR | compound annual growth rate |
References
- Russell, S.; Norvig, P. Artificial Intelligence: A Modern Approach; Prentice Hall: Upper Saddle River, NJ, USA, 2002. [Google Scholar]
- McCorduck, P.; Cfe, C. Machines Who Think: A Personal Inquiry into the History and Prospects of Artificial Intelligence; CRC Press: Boca Raton, FL, USA, 2004. [Google Scholar]
- Jordan, M.I.; Mitchell, T.M. Machine learning: Trends, perspectives, and prospects. Science 2015, 349, 255–260. [Google Scholar] [CrossRef] [PubMed]
- Monroe, D. Neuromorphic Computing Gets Ready for the (Really) Big Time; ACM: New York, NY, USA, 2014. [Google Scholar]
- Poon, C.S.; Zhou, K. Neuromorphic silicon neurons and large-scale neural networks: Challenges and opportunities. Front. Neurosci. 2011, 5, 108. [Google Scholar] [CrossRef] [PubMed] [Green Version]
- Wang, H.; Li, H.; Pino, R.E. Memristor-based synapse design and training scheme for neuromorphic computing architecture. In Proceedings of the 2012 International Joint Conference on Neural Networks (IJCNN), Brisbane, QLD, Australia, 10–15 June 2012; pp. 1–5. [Google Scholar]
- Roy, K.; Jaiswal, A.; Panda, P. Towards spike-based machine intelligence with neuromorphic computing. Nature 2019, 575, 607–617. [Google Scholar] [CrossRef] [PubMed]
- Chi, P.; Li, S.; Xu, C.; Zhang, T.; Zhao, J.; Liu, Y.; Wang, Y.; Xie, Y. Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory. ACM SIGARCH Comput. Archit. News 2016, 44, 27–39. [Google Scholar] [CrossRef]
- Mahapatra, N.R.; Venkatrao, B. The processor-memory bottleneck: Problems and solutions. XRDS Crossroads ACM Mag. Stud. 1999, 5, 2. [Google Scholar] [CrossRef]
- Sebot, J.; Drach-Temam, N. Memory bandwidth: The true bottleneck of SIMD multimedia performance on a superscalar processor. In European Conference on Parallel Processing; Springer: Berlin/Heidelberg, Germany, 2001; pp. 439–447. [Google Scholar]
- Mead, C. Neuromorphic electronic systems. Proc. IEEE 1990, 78, 1629–1636. [Google Scholar] [CrossRef] [Green Version]
- Douglas, R.; Mahowald, M.; Mead, C. Neuromorphic analogue VLSI. Annu. Rev. Neurosci. 1995, 18, 255–281. [Google Scholar] [CrossRef]
- Zidan, M.A.; Strachan, J.P.; Lu, W.D. The future of electronics based on memristive systems. Nat. Electron. 2018, 1, 22–29. [Google Scholar] [CrossRef]
- Yu, S. Neuro-Inspired Computing Using Resistive Synaptic Devices; Springer: Berlin/Heidelberg, Germany, 2017. [Google Scholar]
- Goldberg, D.H.; Cauwenberghs, G.; Andreou, A.G. Probabilistic synaptic weighting in a reconfigurable network of VLSI integrate-and-fire neurons. Neural Netw. 2001, 14, 781–793. [Google Scholar] [CrossRef] [Green Version]
- Choi, S.; Ham, S.; Wang, G. Memristor synapses for neuromorphic computing. In Memristors-Circuits and Applications of Memristor Devices; IntechOpen: London, UK, 2019. [Google Scholar]
- Camuñas-Mesa, L.A.; Linares-Barranco, B.; Serrano-Gotarredona, T. Neuromorphic Spiking Neural Networks and Their Memristor-CMOS Hardware Implementations. Materials 2019, 12, 2745. [Google Scholar] [CrossRef] [PubMed] [Green Version]
- Priestley, A. Emerging Technology Analysis: Neuromorphic Computing. Nanotechnology 2018, 30, 032001. [Google Scholar]
- Fowers, J.; Ovtcharov, K.; Papamichael, M.; Massengill, T.; Liu, M.; Lo, D.; Alkalay, S.; Haselman, M.; Adams, L.; Ghandi, M.; et al. A configurable cloud-scale DNN processor for real-time AI. In Proceedings of the 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), Los Angeles, CA, USA, 1–6 June 2018; pp. 1–14. [Google Scholar]
- Ma, D.; Shen, J.; Gu, Z.; Zhang, M.; Zhu, X.; Xu, X.; Xu, Q.; Shen, Y.; Pan, G. Darwin: A neuromorphic hardware co-processor based on spiking neural networks. J. Syst. Archit. 2017, 77, 43–51. [Google Scholar] [CrossRef]
- Jiao, Y.; Han, L.; Jin, R.; Su, Y.J.; Ho, C.; Yin, L.; Li, Y.; Chen, L.; Chen, Z.; Liu, L.; et al. 7.2 A 12nm Programmable Convolution-Efficient Neural-Processing-Unit Chip Achieving 825TOPS. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 136–140. [Google Scholar]
- Corinto, F.; Civalleri, P.P.; Chua, L.O. A theoretical approach to memristor devices. IEEE J. Emerg. Sel. Top. Circuits Syst. 2015, 5, 123–132. [Google Scholar] [CrossRef] [Green Version]
- Chua, L. Resistance switching memories are memristors. Appl. Phys. A 2011, 102, 765–783. [Google Scholar] [CrossRef] [Green Version]
- Wang, X.; Chen, Y. Spintronic memristor devices and application. In Proceedings of the 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), Dresden, Germany, 8–12 March 2010; pp. 667–672. [Google Scholar]
- Ho, Y.; Huang, G.M.; Li, P. Nonvolatile memristor memory: Device characteristics and design implications. In Proceedings of the 2009 International Conference on Computer-Aided Design, San Jose, CA, USA, 2–5 November 2009; pp. 485–490. [Google Scholar]
- Chua, L. Memristor-the missing circuit element. IEEE Trans. Circuit Theory 1971, 18, 507–519. [Google Scholar] [CrossRef]
- Suzuki, K.; Swanson, S. A survey of trends in non-volatile memory technologies: 2000–2014. In Proceedings of the 2015 IEEE International Memory Workshop (IMW), Monterey, CA, USA, 17–20 May 2015; pp. 1–4. [Google Scholar]
- Lee, S.H. Scaling trends and challenges of advanced memory technology. In Proceedings of the Technical Program-2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 28–30 April 2014; p. 1. [Google Scholar]
- Fujisaki, Y. Current status of nonvolatile semiconductor memory technology. Jpn. J. Appl. Phys. 2010, 49, 100001. [Google Scholar] [CrossRef] [Green Version]
- Sie, C. Memory Devices Using Bistable Resistivity in Amorphous As-Te-Ge Films. Ph.D. Thesis, Iowa State University, Ames, IA, USA, 1969. [Google Scholar]
- Sie, C.; Pohm, A.; Uttecht, P.; Kao, A.; Agrawal, R. Chalcogenide glass bistable resistivity memory. IEEE MAG-6 1970, 6, 592. [Google Scholar]
- Sie, C.; Uttecht, R.; Stevenson, H.; Griener, J.; Raghavan, K. Electricfield induced filament formation in As-Te-Ge glass. J. Non-Cryst. Solids 1970, 2, 358–370. [Google Scholar]
- Ha, D.; Kim, K. Recent advances in high density phase change memory (PRAM). In Proceedings of the 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 23–25 April 2007; pp. 1–4. [Google Scholar]
- Wong, H.S.P.; Raoux, S.; Kim, S.; Liang, J.; Reifenberg, J.P.; Rajendran, B.; Asheghi, M.; Goodson, K.E. Phase change memory. Proc. IEEE 2010, 98, 2201–2227. [Google Scholar] [CrossRef]
- WAhn, S.; Song, Y.; Jeong, C.; Shin, J.; Fai, Y.; Hwang, Y.; Lee, S.; Ryoo, K.; Lee, S.; Park, J. Highly manufacturable high density phase change memory of 64Mb and beyond. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 13–15 December 2004; pp. 907–910. [Google Scholar]
- Suri, M.; Bichler, O.; Querlioz, D.; Cueto, O.; Perniola, L.; Sousa, V.; Vuillaume, D.; Gamrat, C.; DeSalvo, B. Phase change memory as synapse for ultra-dense neuromorphic systems: Application to complex visual pattern extraction. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; p. 4. [Google Scholar]
- Shelby, R.M.; Burr, G.W.; Boybat, I.; Di Nolfo, C. Non-volatile memory as hardware synapse in neuromorphic computing: A first look at reliability issues. In Proceedings of the IEEE International Reliability Physics Symposium, Monterey, CA, USA, 19–23 April 2015; p. 6A-1. [Google Scholar]
- Yu, S. Neuro-inspired computing with emerging nonvolatile memorys. Proc. IEEE 2018, 106, 260–285. [Google Scholar] [CrossRef]
- Suri, M.; Garbin, D.; Bichler, O.; Querlioz, D.; Vuillaume, D.; Gamrat, C.; DeSalvo, B. Impact of PCM resistance-drift in neuromorphic systems and drift-mitigation strategy. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), Brooklyn, NY, USA, 15–17 July 2013; pp. 140–145. [Google Scholar]
- Li, J.; Luan, B.; Lam, C. Resistance drift in phase change memory. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 15–19 April 2012; p. 6C-1. [Google Scholar]
- Ielmini, D.; Lavizzari, S.; Sharma, D.; Lacaita, A.L. Physical interpretation, modeling and impact on phase change memory (PCM) reliability of resistance drift due to chalcogenide structural relaxation. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 939–942. [Google Scholar]
- Ielmini, D.; Sharma, D.; Lavizzari, S.; Lacaita, A.L. Reliability impact of chalcogenide-structure relaxation in phase-change memory (PCM) cells—Part I: Experimental study. IEEE Trans. Electron Devices 2009, 56, 1070–1077. [Google Scholar] [CrossRef]
- Boniardi, M.; Ielmini, D. Physical origin of the resistance drift exponent in amorphous phase change materials. Appl. Phys. Lett. 2011, 98, 243506. [Google Scholar] [CrossRef]
- Pirovano, A.; Lacaita, A.L.; Pellizzer, F.; Kostylev, S.A.; Benvenuti, A.; Bez, R. Low-field amorphous state resistance and threshold voltage drift in chalcogenide materials. IEEE Trans. Electron Devices 2004, 51, 714–719. [Google Scholar] [CrossRef]
- Akinaga, H.; Shima, H. Resistive random access memory (ReRAM) based on metal oxides. Proc. IEEE 2010, 98, 2237–2251. [Google Scholar] [CrossRef]
- Yang, J.J.; Strukov, D.B.; Stewart, D.R. Memristive devices for computing. Nat. Nanotechnol. 2013, 8, 13. [Google Scholar] [PubMed]
- Muraoka, S.; Ninomiya, T.; Wei, Z.; Katayama, K.; Yasuhara, R.; Takagi, T. Comprehensive understanding of conductive filament characteristics and retention properties for highly reliable ReRAM. In Proceedings of the 2013 Symposium on VLSI Technology, Kyoto, Japan, 11–13 June 2013. [Google Scholar]
- Wei, Z.; Eriguchi, K. Analytic modeling for nanoscale resistive filament variation in ReRAM with stochastic differential equation. IEEE Trans. Electron Devices 2017, 64, 2201–2206. [Google Scholar] [CrossRef]
- Liu, Q.; Long, S.; Lv, H.; Wang, W.; Niu, J.; Huo, Z.; Chen, J.; Liu, M. Controllable growth of nanoscale conductive filaments in solid-electrolyte-based ReRAM by using a metal nanocrystal covered bottom electrode. ACS Nano 2010, 4, 6162–6168. [Google Scholar] [CrossRef]
- Kang, J.; Li, H.; Huang, P.; Chen, Z.; Gao, B.; Liu, X.; Jiang, Z.; Wong, H. Modeling and design optimization of ReRAM. In Proceedings of the 20th Asia and South Pacific Design Automation Conference, Tokyo, Japan, 19 January–22 January 2015; pp. 576–581. [Google Scholar]
- Bai, Y.; Wu, H.; Wu, R.; Zhang, Y.; Deng, N.; Yu, Z.; Qian, H. Study of Multi-level Characteristics for 3D Vertical Resistive Switching Memory. Sci. Rep. 2014, 4. [Google Scholar] [CrossRef] [Green Version]
- Xu, C.; Niu, D.; Muralimanohar, N.; Jouppi, N.P.; Xie, Y. Understanding the trade-offs in multi-level cell ReRAM memory design. In Proceedings of the 50th ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, TX, USA, 29 May–7 June 2013; pp. 1–6. [Google Scholar]
- Ramadan, M.; Wainstein, N.; Ginosar, R.; Kvatinsky, S. Adaptive programming in multi-level cell ReRAM. Microelectron. J. 2019, 90, 169–180. [Google Scholar] [CrossRef]
- Niu, D.; Zou, Q.; Xu, C.; Xie, Y. Low power multi-level-cell resistive memory design with incomplete data mapping. In Proceedings of the 2013 IEEE 31st International Conference on Computer Design (ICCD), Asheville, NC, USA, 6–9 October 2013; pp. 131–137. [Google Scholar]
- Puglisi, F.M.; Larcher, L.; Bersuker, G.; Padovani, A.; Pavan, P. An empirical model for RRAM resistance in low-and high-resistance states. IEEE Electron Device Lett. 2013, 34, 387–389. [Google Scholar] [CrossRef]
- Park, J.; Zheng, T.; Erez, M.; Orshansky, M. Variation-Tolerant Write Completion Circuit for Variable-Energy Write STT-RAM Architecture. IEEE Trans. Large Scale Integr. (VLSI) Syst. 2016, 24, 1351–1360. [Google Scholar] [CrossRef]
- Nigam, A.; Smullen, C.W.; Mohan, V.; Chen, E.; Gurumurthi, S.; Stan, M.R. Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM). In Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, Fukuoka, Japan, 1–3 August 2011; pp. 121–126. [Google Scholar]
- Hong, S.; Auciello, O.; Wouters, D. Emerging Non-Volatile Memories; Springer: Berlin/Heidelberg, Germany, 2014. [Google Scholar]
- Dong, X.; Wu, X.; Sun, G.; Xie, Y.; Li, H.; Chen, Y. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In Proceedings of the 45th ACM/IEEE Design Automation Conference, Anaheim, CA, USA, 8–13 June 2008; pp. 554–559. [Google Scholar]
- Freitas, R.F.; Wilcke, W.W. Storage-class memory: The next storage system technology. IBM J. Res. Dev. 2008, 52, 439–447. [Google Scholar] [CrossRef]
- Kim, W.; Jeong, J.; Kim, Y.; Lim, W.; Kim, J.; Park, J.; Shin, H.; Park, Y.; Kim, K.; Park, S.; et al. Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; p. 24. [Google Scholar]
- Jain, S.; Ranjan, A.; Roy, K.; Raghunathan, A. Computing in Memory With Spin-Transfer Torque Magnetic RAM. IEEE Trans. Large Scale Integr. (VLSI) Syst. 2018, 26, 470–483. [Google Scholar] [CrossRef]
- Kazemi, M. An electrically reconfigurable logic gate intrinsically enabled by spin-orbit materials. Sci. Rep. 2017, 7. [Google Scholar] [CrossRef] [Green Version]
- Donahue, M.; Porter, D. OOMMF User Guide, Version 1.0; Interagency Report NISTIR 6376; National Institute of Standard and Technology: Gaithersburg, MD, USA, 1999. Available online: http://math.nist.gov/oommf (accessed on 10 May 2020).
- Gilbert, T. Anomalous rotational damping in ferromagnetic sheets. In Proceedings of the Conference on Magnetism and Magnetic Materials, Pittsburgh, PA, USA, 14–16 June 1955. [Google Scholar]
- Park, J.; Yim, Y.U. Two-Phase Read Strategy for Low Energy Variation-Tolerant STT-RAM. IEEE Trans. Large Scale Integr. (VLSI) Syst. 2018, 26, 2584–2590. [Google Scholar] [CrossRef]
- Ohno, T.; Hasegawa, T.; Tsuruoka, T.; Terabe, K.; Gimzewski, J.K.; Aono, M. Short-term plasticity and long-term potentiation mimicked in single inorganic synapses. Nat. Mater. 2011, 10, 591–595. [Google Scholar] [CrossRef]
- Manning, H.G.; Niosi, F.; da Rocha, C.G.; Bellew, A.T.; O’Callaghan, C.; Biswas, S.; Flowers, P.F.; Wiley, B.J.; Holmes, J.D.; Ferreira, M.S.; et al. Emergence of winner-takes-all connectivity paths in random nanowire networks. Nat. Commun. 2018, 9, 1–9. [Google Scholar] [CrossRef]
- Du, C.; Cai, F.; Zidan, M.A.; Ma, W.; Lee, S.H.; Lu, W.D. Reservoir computing using dynamic memristors for temporal information processing. Nat. Commun. 2017, 8, 1–10. [Google Scholar] [CrossRef]
- Bose, S.; Shirai, S.; Mallinson, J.; Brown, S. Synaptic dynamics in complex self-assembled nanoparticle networks. Faraday Discuss. 2019, 213, 471–485. [Google Scholar] [CrossRef] [Green Version]
- Diaz-Alvarez, A.; Higuchi, R.; Sanz-Leon, P.; Marcus, I.; Shingaya, Y.; Stieg, A.Z.; Gimzewski, J.K.; Kuncic, Z.; Nakayama, T. Emergent dynamics of neuromorphic nanowire networks. Sci. Rep. 2019, 9, 1–13. [Google Scholar] [CrossRef] [Green Version]
- Stieg, A.Z.; Avizienis, A.V.; Sillin, H.O.; Martin-Olmos, C.; Aono, M.; Gimzewski, J.K. Emergent criticality in complex turing B-type atomic switch networks. Adv. Mater. 2012, 24, 286–293. [Google Scholar] [CrossRef] [PubMed]
- Mittal, S. A Survey of Techniques for Approximate Computing. ACM Comput. Surv. 2016, 48, 1–33. [Google Scholar] [CrossRef] [Green Version]
- Sampson, A.; Nelson, J.; Strauss, K.; Ceze, L. Approximate storage in solid-state memories. In Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Davis, CA, USA, 7–11 December 2013; pp. 25–36. [Google Scholar]
- Han, J.; Orshansky, M. Approximate computing: An emerging paradigm for energy-efficient design. In Proceedings of the 18th IEEE European Test Symposium (ETS), Avignon, France, 27–30 May 2013; pp. 1–6. [Google Scholar]
- Akturk, I.; Khatamifard, K.; Karpuzcu, U.R. On quantification of accuracy loss in approximate computing. In Proceedings of the Workshop on Duplicating, Deconstructing and Debunking (WDDD), Portland, PA, USA, 14 June 2015; Volume 15. [Google Scholar]
- Park, J.; Yim, Y.U. Fine-Grained Power Gating Using an MRAM-CMOS Non-Volatile Flip-Flop. Micromachines 2019, 10, 411. [Google Scholar] [CrossRef] [PubMed] [Green Version]
- Paliaroutis, G.I.; Tsoumanis, P.; Evmorfopoulos, N.; Dimitriou, G.; Stamoulis, G.I. Set Pulse Characterization and SER Estimation in Combinational Logic with Placement and Multiple Transient Faults Considerations. Technologies 2020, 8, 5. [Google Scholar] [CrossRef] [Green Version]
- Jang, S.; Park, J. HYFII: HYbrid Fault Injection Infrastructure for Accurate Runtime System Failure Analysis. IEEE Trans. Large Scale Integr. (VLSI) Syst. 2020. [Google Scholar] [CrossRef]
© 2020 by the author. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
Share and Cite
Park, J. Neuromorphic Computing Using Emerging Synaptic Devices: A Retrospective Summary and an Outlook. Electronics 2020, 9, 1414. https://doi.org/10.3390/electronics9091414
Park J. Neuromorphic Computing Using Emerging Synaptic Devices: A Retrospective Summary and an Outlook. Electronics. 2020; 9(9):1414. https://doi.org/10.3390/electronics9091414
Chicago/Turabian StylePark, Jaeyoung. 2020. "Neuromorphic Computing Using Emerging Synaptic Devices: A Retrospective Summary and an Outlook" Electronics 9, no. 9: 1414. https://doi.org/10.3390/electronics9091414
APA StylePark, J. (2020). Neuromorphic Computing Using Emerging Synaptic Devices: A Retrospective Summary and an Outlook. Electronics, 9(9), 1414. https://doi.org/10.3390/electronics9091414