Next Article in Journal
An Integrated Approach to Real-Time 3D Sensor Data Visualization for Digital Twin Applications
Next Article in Special Issue
SEU Cross-Section Estimation Using ECORCE TCAD Tool
Previous Article in Journal
The Role of Modulation Techniques on Power Device Thermal Performance in Two-Level VSI Converters
Previous Article in Special Issue
Ion-Induced Charge and Single-Event Burnout in Silicon Power UMOSFETs
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Exploring Circuit-Level Techniques for Soft Error Mitigation in 7 nm FinFET Full Adders

by
Rafael Oliveira
1,*,
Rafael B. Schvittz
2 and
Cristina Meinhardt
1
1
Departamento de Informática e Estatistica, Universidade Federal de Santa Catarina, Florianópolis 88040-900, Brazil
2
Centro de Ciências Computacionais, Universidade Federal do Rio Grande, Rio Grande 96203-900, Brazil
*
Author to whom correspondence should be addressed.
Electronics 2025, 14(15), 2937; https://doi.org/10.3390/electronics14152937
Submission received: 5 June 2025 / Revised: 15 July 2025 / Accepted: 19 July 2025 / Published: 23 July 2025

Abstract

This work investigates the effects of radiation on FinFET-based full adders, which are crucial components of arithmetic units, particularly in aerospace and space applications. While FinFETs offer significant advantages, they remain susceptible to single-event transients (SETs) induced by radiation, which can cause computational errors. We assess three circuit-level mitigation techniques against SETs in FinFET adders: decoupling cells (DCELLs), transistor sizing (TS), and a combined approach incorporating both methods. Our results demonstrate that the most sensitive nodes and critical vectors in the adders vary depending on the mitigation strategy, underscoring their impact on overall radiation resilience. By analyzing these techniques alongside critical node evaluation, we identify their advantages and limitations, providing insights to enhance the robustness of FinFET-based processors in radiation-prone environments.

1. Introduction

The advancement of technology scaling has made possible the development of more efficient and sophisticated electronic devices [1]. This progression enables higher transistor density per die. As a result, it has increased computational capability while reducing the overall area, consequently driving down the costs associated with integrated circuits. However, as voltage operation and device size are scaled down, electronic components become increasingly vulnerable to radiation-induced interference. This phenomenon significantly impacts their reliability and performance in electronic systems [2]. This vulnerability even affects new technologies, such as multigate devices, which are proposed to continue the miniaturization of devices, overcoming the more critical short-channel effects faced by bulk CMOS devices.
This susceptibility to radiation is particularly relevant when considering Single Event Effects (SEE), which occur when energetic particles from space or terrestrial noise interact with silicon. With the advent of nanometer technologies, the minimum charge required to induce a Soft Error decreases. This reduction can be attributed to factors such as decreased nodal capacitance, lower supply voltages, and higher-frequency operations.
One of the most crucial components in computer systems is the FA [3]. The FA is generally part of the critical path in most systems, making this digital component a strong influence on the overall performance of the entire system. Despite the FA’s relevance, most of the reliability research focuses on the radiation effects on memory cells [4,5,6,7,8,9,10], and few studies have evaluated circuit-level mitigation strategies for robust FA design [11].
The objective of this work is to analyze circuit-level methods aimed at mitigating the Single Event Transient (SET) effects on a set of four FA circuits by considering circuit-level mitigation strategies. Additionally, this work provides information about the robustness to radiation and the susceptibility of SET for the selected FA topologies. It will consider how input vectors and current pulse types influence these aspects across different voltage operations. This article is a revised and expanded version of a paper entitled Improving Soft Error Robustness of Full Adder Circuits with Decoupling Cell and Transistor Sizing, which was presented at the Symposium on Integrated Circuits and Systems Design (SBCCI), 2022 [12,13]. The main contributions of this work are as follows:
  • To explore radiation sensitivity across two voltage operation scenarios.
  • To assess the efficacy of two circuit-level techniques for mitigating the effects of SETs.
  • To demonstrate the mitigation tendency when different radiation particle characteristics are integrated into circuit-level design.
  • To provide an overall comparison between all techniques employed in this discussion.
These contributions will underscore the critical importance of topology selection and mitigation strategy for improving the SET radiation tolerance. The combined application of DCELL and Transistor Sizing consistently yields the highest error reduction and LETth improvements across all topologies. Notably, the Mirror FA emerges as the top-performing topology under combined mitigation at near-threshold voltage (NTV), achieving the highest Linear Energy Transfer (LET) threshold improvement of nearly 3.30×. While the Hybrid FA demonstrates greater robustness than Mirror FA when using Decoupling Cell alone, Mirror FA robustness is still superior when applying Transistor Sizing or combined techniques. This paper brings a related work review in Section 2. Section 3 describes the methodology adopted in the evaluation environment. Next, Section 4 discusses how the SET affects the nominal behavior of the four FA topologies regarding critical node, error rate, input vector sensitivity, and radiation-induced pulse. Section 5 analyzes the effects of introducing the circuit-level mitigation approaches. Finally, Section 6 underlines the most relevant observations.

2. Related Work

The main types of radiation effects that digital circuits experience are Single Event Effects, Total Ionizing Dose (TID), and Displacement Damage (DD) [14]. A SEE occurs when a strongly ionizing particle impacts a material, resulting in an energy pulse within the material. These effects are categorized as either destructive or non-destructive [15]. Destructive effects permanently impair circuits [16,17]. Conversely, non-destructive effects do not cause permanent damage but can alter information within combinational circuits, leading to SET, or within sequential circuits such as memory devices, causing Single Event Upsets (SEU) [2]. The advancement of computing architectures, enabled by smaller, faster, and more affordable microelectronic components, has led to the fabrication of increasingly capable systems. However, the decreasing charge representing stored information and the associated voltage scaling have heightened the susceptibility of CMOS devices to SEE.
Multigate devices have been introduced to address short-channel effects [18] and provide relevant mechanisms to mitigate the continuous transistor scale reduction. Among different multigate devices [19,20,21,22,23,24], one of the most common is the FinFET, mainly due to the similarity of the manufacturing process with conventional planar technologies. The FinFET structure is a 3D device with a vertical fin-like structure that allows better control of electrical current flow. Various manufacturing methods exist for FinFET devices, including Silicon-On-Insulator (SOI) FinFET and bulk FinFET. Figure 1 illustrates the comparison between FinFETs implemented on conventional wafers, SOI, and bulk with insulator. Bulk FinFET utilizes the triple gate model [25]. In this design, the fins share the same silicon substrate, as illustrated in Figure 1a. The decision to employ bulk FinFETs was motivated by lower manufacturing costs and the application of the planar CMOS fabrication model, despite having slightly lower electrical characteristics compared to SOI FinFETs. In contrast, SOI FinFETs feature physically isolated fins achieved by an oxide layer, as seen in Figure 1b. Additionally, bulk FinFETs can be constructed with a dense insulator to prevent inversion between the fins, as shown in Figure 1c.
Despite the FinFET attractive attributes for mitigating radiation-induced soft errors, due to the restrictive charge collection mechanism in the fin [27,28], it is essential to recognize that SEE still has the potential to deposit enough amount of energy required to induce a soft error. This energy is quantified by the LET [29]. Even more relevant is to consider low-power constraints, mainly for aerospace applications. The traditional voltage reduction strategy may impose side effects such as lowering frequency operation, increasing leakage power, and increasing the sensitivity of soft errors. FinFET technology was selected in this work to evaluate the impact of radiation effects on advanced nanometer technology nodes, primarily due to the availability of an open-access process design kit, the ASAP 7 nm [30]. It is important to emphasize that, although FinFET devices offer improved short-effects channel control and reduced dopant concentration, radiation-induced effects remain non-negligible in multi-gate architectures. Similar concerns may extend to other radiation-hardened technologies, such as SOI devices. However, most of these are proprietary nodes with restricted access, limiting their use for open research. The adoption of the ASAP 7 nm FinFET technology enables future studies to reproduce our experiments and perform fair comparative evaluations.
This underscores the importance of examining SET effects on FA circuits for radiation-sensitive applications. Some researchers have presented discussions about a variety of FAs using different logic styles [3] proposed for performance and power-efficiency. Other works have investigated the impacts of process variability on the performance and power, and explored mitigation strategies to deal with it [12,31,32,33]. However, we identify a few contributions about the SET effects on multigate FA circuits other than our previous initial evaluation of radiation effects on a FinFET-based Mirror FA topology [11,13]. On the other side, SEE effects have been deeply studied for individual devices or SRAM cells [28,34,35,36,37,38], flip-flops [39,40], and sets of basic combinational cells [41,42]. However, as far as we know, there is a lack of evaluation of circuit-level strategies to mitigate the radiation robustness of FinFET-based full adders.
Schmitt-trigger (ST)-based approaches show a large impact on leakage power and dynamic power [33,41]. Similar to the Schmitt-trigger circuit, the DCELL technique was initially created to improve noise [43] and reduce SEE [44]. However, DCELL-based approaches have recently shown potential to process variability mitigation on digital designs, with minimal impact on area and power [43]. The DCELL technique was used to filter the SET pulses generated by low energy particles in a set of logic gates designed in a 130 nm bulk CMOS digital library [44], and presented as a potential approach to mitigate process variability effects on a set of basic logic gates [41].

3. Methodology

This work aims to evaluate the sensitivity of full adder circuits, observing the impact of SET faults. To achieve this, the work compares a selected group of well-known full adder designs: Mirror, Hybrid, TFA, and TGA topologies. These topologies were previously analyzed in the literature for their susceptibility to process variability [32]. FA topologies based on elementary gates were not included in this evaluation because designs using such gates tend to occupy significantly larger area, for example, a full adder implemented with elementary gates typically requires about 42 transistors, impacting also delay, and power consumption. Figure 2 provides a visual summary of the methodology described above, outlining each stage of the process. In this work, these topologies are evaluated under two distinct voltage conditions: nominal voltage (NV) and near-threshold voltage, allowing for a comprehensive understanding of their SET robustness under typical and voltage-constrained scenarios. The next subsections detail the simulation setup.

3.1. Simulation Setup

Initially, the chosen full adder topologies are described and implemented within the SPICE simulation environment. The circuits were simulated with the 7 nm ASAP7 model [30]. Table 1 presents the main parameters adopted in the electrical simulation. The first eight lines present the electrical and physical parameters for the 7 nm FinFET devices [30]. The voltage and temperature parameters define the environmental conditions adopted in the experiments. The nominal operation adopts the nominal voltage for Low Voltage Technology (LVT) devices (0.7 V) and the NTV (0.35 V). We are adopting the low-voltage LVT device model from the ASAP 7 nm PDK [30]. Considering the typical process corner, the threshold voltage values are between 0.35 V and 0.40 V for NFET devices and between 0.40 V and 0.45 V for PFET devices [30]. To emulate a realistic input slope, we insert two inverters in the input signals. The load capacitance is set to correspond to a fanout of 4 (FO4), providing a standardized and consistent output condition that allows us to isolate the intrinsic behavior of each full adder design without the added complexity of carry propagation effects from cascaded stages.
The transistor sizing adopted in the experiments with the standard full adder topologies, i.e., without any mitigation technique, is configured to all transistors on all circuits adopting the minimum size of 1-fin. This condition is assumed despite the recommendation of using a minimum of 3-fin transistors presented in the 7 nm ASAP PDK for ensuring internal cell routing adopted in the basic 3-fin NMOS and PMOS standard cell architectures of this PDK [30], in order to represent the critical scenario for robustness tests.

3.2. SET Simulation and Evaluation

In the second step, electrical simulations are conducted to obtain important metrics such as LET threshold (LETth), total error propagated, and error rate for each node. Using the HSPICE tool, a series of electrical simulations is conducted for each topology operating at both NV and NTV levels. These simulations represent the default condition of the circuits before any fault mitigation techniques are applied. This stage is crucial for identifying the most critical internal nodes within each circuit—those most susceptible to SET-induced disruptions—as well as determining the critical current levels required to generate a SET event. These insights form the foundation for developing targeted mitigation strategies.
When an energetic particle hits a transistor junction, the impact triggers the charge collection mechanism of the device, collecting charge while the particle tracks into the depletion region [27]. As an approximate metric, we have assumed that the collected charge is approximately equal to the deposited charge, based on the Linear Energy Transfer (LET) of the particle and the collection depth. In our work, we define the SET pulse as the voltage glitch occurring at the output of a logic gate, which is influenced by the quantity of deposited charge. Within our analyzed circuits, the transistor demonstrates sensitivity to charge collection when it is reverse-biased and when there exists a low-resistance path between the affected node and the output. Depending on the location of impact from the incident ion, there are two types of single-event hits: n-hit and p-hit. An n-hit results in a high-to-low transition at the sensitive node and typically occurs at nodes connected to NMOS transistors, where the node is normally held high. In contrast, a p-hit causes a low-to-high transition and typically occurs at nodes connected to PMOS transistors, where the node is normally held low [45]. The pulse can be modeled as a low-to-high (010) transition to reflect p-hits or a high-to-low (101) to n-hits.
The radiation effect of a particle hitting at the junction of a device is described as a double exponential transient pulse in this work, adopting the equation model defined in [46,47,48]. The charge deposition mechanism proposed in [49] is widely used to form a current source whose behavior is modeled as a double exponential. The modeling of the transient current is given by Equations (1)–(3), where Q C O L L is the collected charge due to a radiation particle strike, τ α is the collection time constant of the junction, and τ β is the ion track establishment time constant, and L is the charge collection depth that decreases with the technology scaling. For every 1 MeV·cm2/mg, an ionizing particle deposits about 10.8 fC of electron-hole pairs along each micron of its track [50]. Equation (4) presents the LET equation obtained from the previous equations. In this work, the geometry of the pulse that we are adopting in the experiments are a double exponential pulse, where the collection time constant is set to 200 ps, the initial constant time is set to 10 ps [10,48]. Due to differences in the charge collection mechanism of FinFET devices [51], we adopt the gate length of the fin as the charge collection depth restriction. Thus, the charge collection depth for the FinFET devices in these experiments is set to 21 nm, which is the gate length of the adopted devices. A comprehensive evaluation of the charge mechanism for FinFET devices is discussed in [28], presenting some levels of differences in charge collection between NMOS and PMOS, which can influence the n-hit and p-hit on the evaluated circuits. The fault simulation is performed at the circuit level using HSPICE, and the radiation pulse is introduced as an independent current source at the target junction of the device, which is represented by a circuit node in the simulation and evaluation. The current value is increased at 0.5 μ A step to determine the minimum current to provoke the unexpected behavior on one of the FA outputs.
I P ( t ) = I 0 × ( e t τ α e t τ β )
I 0 = Q C O L L ( τ α τ β )
Q C O L L = 10.8   f × L × L E T
L E T = I P ( t ) × ( τ α τ β ) 10.8   f × L × ( e t τ α e t τ β )
The experiments involve determining the minimum current required to induce an output flip in the circuit, which is then used to calculate the minimum LET, i.e., the LET threshold. The experiment is stopped when the simulation requires a LETth value greater than 100 MeV · cm 2 / mg (in this case, the node is considered robust). The circuit-level abstraction with electrical simulations allows us to evaluate all internal nodes and all input vectors, observing the fault propagation to each output function of the FA. The error susceptibility rate is the relation between the number of errors per node (or vector) and the total errors observed on the circuit. Thus, this metric is adopted to evaluate the robustness of an under-evaluation cell.
In our investigation of SETs in FA circuits, understanding the distribution of errors across different nodes is vital for pinpointing vulnerable areas and devising effective mitigation strategies. The formula used to calculate the error rate is presented in Equation (5), providing an error rate analysis with respect to the input vector. This expression involves two key variables: E n , which represents the total errors found for a specific node, and E t o t a l , which signifies the total errors observed in the entire topology. The error rate is determined by calculating the ratio of errors at the specific node. This ratio is then multiplied by 100 to express the result as a percentage. The resulting E r represents the proportion of errors attributed to the specific node in relation to the total errors observed in the topology.
E r = E n E t o t a l × 100
By analyzing the impact of each input vector, it becomes possible to identify specific combinations that are more prone to generating errors. This information is important for localizing and understanding the sources of errors within the circuit.

3.3. Insertion of Mitigation Techniques

In the fourth stage of the work, specific fault mitigation techniques are applied at the circuit level to enhance the radiation robustness of the full adder topologies. After integrating these techniques into the original circuit designs, a second round of HSPICE simulations is performed, again at both NV and NTV, to observe the impact of the changes.
To mitigate the impact of radiation, three distinct approaches are employed: DCELL [43,44], TS, and a combined strategy utilizing both DCELL and TS. The techniques employed include the DCELL approach, which is applied exclusively to the same target node used for the particle strike injection in each topology, and transistor sizing, which is applied to all devices in the investigated topologies during the simulation tests. The DCELL circuit adopted in the experiments is the circuit described in [44], with all the devices with the minimum number of fins, i.e., with 1 fin. The transistor sizing technique is applied uniformly across all devices, increasing the number of fins to 3. When combining DCELL + TS, the devices on the DCELL are also sized to have 3 fins. The implementation of these techniques involves incorporating specific modifications into the SPICE descriptions of the transistor network constituting the evaluated FA circuit. These tailored modifications precede the rerun of simulations to assess the effectiveness of each mitigation technique. Subsequently, the results derived from applying these techniques are examined and discussed to evaluate their impact on enhancing the circuit’s resilience against radiation-induced effects.
The final stage of the study centers on analyzing the results obtained after the mitigation techniques have been applied. This analysis evaluates the effectiveness of each technique in enhancing circuit robustness by increasing LETth, reducing the total number of errors, and lowering the error rate. Through this comprehensive evaluation, the study provides valuable insights into the comparative resilience of the selected full adder topologies and the effectiveness of specific mitigation strategies in enhancing their fault tolerance.

4. Results Analysis

The evaluation of radiation sensitivity begins by testing the circuits at their nominal voltage and subsequently at near-threshold voltage. Throughout this process, LETth values exceeding 100 MeV · cm 2 / mg are used to identify nodes that are not sensitive to single-event transients (SETs). Figure 3, Figure 4, Figure 5 and Figure 6 illustrate the LETth values for various circuit nodes, highlighting those deemed critical due to their notably low LETth values. These figures visually represent the data provided in the tables available in the Supplementary Material, reinforcing the analysis and facilitating interpretation. The Supplementary Material provides a complete data generated for all the evaluations that we present here. By focusing on these critical nodes, the analysis provides valuable insights into potential vulnerabilities in the circuit’s radiation tolerance, forming the basis for developing targeted mitigation strategies to enhance overall system reliability.

4.1. Critical Nodes

In the Mirror full adder, nodes g, and j exhibit the lowest LETth value of 14 MeV · cm 2 / mg , indicating high sensitivity to radiation-induced effects. These internal nodes are particularly critical due to their greater vulnerability compared to others, especially output nodes. Nodes c, f, h, i, k and l show moderate sensitivity, with LETth values ranging from 19 to 20 MeV · cm 2 / mg , as Table 2 highlights. While less vulnerable than g and j, disruptions at these nodes can still impact the circuit’s correctness. Output nodes Sum and Cout present significantly higher LETth values of 37 MeV · cm 2 / mg , suggesting lower sensitivity to SETs. This reduced vulnerability may stem from output loading effects that help attenuate transient glitches and prevent error propagation. Figure 3b further highlights g and j as the most SET-sensitive nodes, under reduced voltage operation. Lowering the supply voltage leads to a 14-fold degradation in their LETth, dropping from 14 MeV · cm 2 / mg under nominal conditions to just 1 MeV · cm 2 / mg at NTV operation, presented in Table S9. These findings underscore the importance of targeting mitigation strategies at these critical internal nodes to enhance the robustness of the Mirror FA.
In the Hybrid full adder, node c is identified as the most sensitive under nominal voltage operation, as Table 3 shows, with a lowest LETth value of 8 MeV · cm 2 / mg . This highlights its critical role and heightened susceptibility to SETs. Nodes b and d also show notable vulnerability, with LETth values of 12 and 14 MeV · cm 2 / mg , respectively, indicating a significant risk of radiation-induced errors despite being more resilient than node c. All other nodes demonstrate moderate sensitivity, with LETth values above 20 MeV · cm 2 / mg , suggesting a reasonable tolerance to SETs.
Under NTV operation, as expected, the internal nodes of all evaluated full adders show increased sensitivity under low-voltage conditions. The Hybrid FA sensitivity is depicted in Figure 4b. Most nodes, including b, c, and d, reach a critical LETth value of 2 MeV · cm 2 / mg , further confirming their vulnerability. In contrast, nodes Sum, Cout, and especially Cin display significantly higher LETth values, with Cin maintaining a value above 100 MeV · cm 2 / mg and emerging as the least critical node, as shown in Table S11 from Supplementary Material. This consistent resilience of Cin across voltage levels suggests a unique robustness within the topology. The use of a combination of complementary logic and pass-transistor logic (PTL) likely contributes to this vulnerability, indicating that this design approach may not be ideal for applications in radiation-prone or noisy environments. Based on these results, nodes b, c, and d are prime candidates for targeted mitigation techniques to improve the radiation tolerance of the Hybrid FA under both nominal and NTV operations.
The radiation-induced error analysis of the TGA FA reveals critical vulnerabilities in specific nodes, particularly under varying operating voltages, as Table 4 highlights. At NV, the nodes associated with the primary outputs, Sum and Cout, exhibit the lowest LETth values, measured at 22 MeV · cm 2 / mg . This identifies them as the most susceptible to SETs, making them the dominant contributors to the circuit’s soft error rate. Node g also demonstrates comparatively low LET values, further highlighting its potential as a critical site for radiation-induced disruptions. This behavior contrasts with more robust internal nodes such as h and b, which show significantly higher LET thresholds, indicating enhanced resilience to transient faults.
A distinguishing factor in this increased vulnerability, particularly at the output stage, is the lack of buffering and the reliance on Pass Transistor Logic in the TGA topology. These design choices reduce signal drive strength and noise margins, rendering output nodes more sensitive to ionizing particle strikes. This is in contrast to other adder architectures, where internal logic nodes typically dominate in terms of criticality.
When the TGA adder operates at NTV, the susceptibility to radiation effects escalates dramatically, illustrated in Figure 5b. A sharp reduction in the critical LET threshold is observed, dropping from 22 MeV · cm 2 / mg to just 2 MeV · cm 2 / mg , representing over a 21× increase in sensitivity for the same critical nodes. Notably, the Sum and Cout nodes remain the most vulnerable, preserving their critical status under NTV; values are presented in Table S13 from Supplementary Material. In addition, node g also emerges as a critical node under these conditions, reaching the same low LET threshold. As illustrated in Figure 5b, the transition to NTV operation amplifies the effects of design limitations inherent in the TGA architecture. The findings emphasize the necessity of reconsidering output stage design, potentially through the inclusion of radiation-hardened buffers or alternative logic styles, when deploying the TGA full adder in radiation-prone or ultra-low-power environments.
The analysis of the TFA FA under radiation exposure highlights Sum as the most critical node, exhibiting the lowest LET threshold of 14 MeV · cm 2 / mg at nominal voltage, shown in Table 5. This low value indicates a pronounced vulnerability to single-event transients (SETs) and identifies Sum as the primary contributor to radiation-induced faults in the circuit. In addition, nodes e and Cout also emerge as critical, with LET thresholds of 19 and 21 MeV · cm 2 / mg , respectively. These relatively low values suggest that these nodes are particularly susceptible to ionizing particle strikes, posing a significant threat to the circuit’s reliability. In contrast, nodes b and f exhibit notably higher LET thresholds of 43 and 42 MeV · cm 2 / mg , respectively, indicating a more robust behavior under radiation. These nodes are less likely to propagate transient errors, thus contributing to the overall resilience of the TFA topology.
The LET threshold for Sum at NTV drops from 14 to just 1 MeV · cm 2 / mg , marking a 14× increase in vulnerability, with values presented in the Supplementary Material in Table S15. Moreover, while Cout was already identified as moderately vulnerable at NV, it now becomes a critical node at NTV, also showing a reduced LET threshold of 2 MeV · cm 2 / mg . These trends are visually captured in Figure 6.
These findings suggest that while certain nodes within the TFA design exhibit natural resilience, others, particularly the outputs, demand targeted mitigation strategies, such as hardened logic or buffer insertion, to ensure reliable operation in radiation-prone or ultra-low-power environments.
This sensitivity analysis not only informs us about vulnerability in specific nodes but also sets the stage for further exploration into mitigation strategies tailored to enhance the robustness of these critical nodes. As we delve deeper into our study, we aim to correlate these critical nodes with observed errors and Pattern routing investigate potential circuit-level techniques to mitigate the impact of SETs on each FA topology.

4.2. Error Rate

Based on the total error analysis for each node, we can identify the most and least sensitive nodes. The total error is indicative of the robustness of each node in the face of faults, with lower values signifying less sensitivity. The analysis of LETth for the four evaluated FAs provides critical insights into the sensitivity of individual nodes to transient errors under both nominal and NTV conditions. Under nominal voltage operation, the Mirror FA node k exhibits the highest susceptibility to error generation, accounting for approximately 12% of all observed transient faults, presented in Table S1. This highlights its pivotal role in the overall fault profile of the Mirror FA topology. Other nodes, including e, f, g, l, Sum, and Cout, also demonstrate moderate sensitivity, each contributing between 8% and 9% of the total error occurrences. These nodes are notably affected by variations in input pulse conditions. Nodes that are topologically distant from the outputs tend to be more resilient against transient faults. This increased robustness can be attributed to the ability of the transistor network to attenuate signal glitches, particularly in nodes driven by multiple transistors. For example, nodes b, c, i, and j exhibit lower fault sensitivity, indicating an inherent resistance to error propagation. When operating at NTV, the total number of transient faults increases significantly, by approximately 19%, compared to nominal conditions, as shown in Table S9 from Supplementary Material. Notably, node a, which was relatively robust at nominal voltage, becomes substantially more error-prone under NTV, contributing to around 11% of all computed errors. Furthermore, nodes d, h, and i also emerge as significant contributors to output interference at NTV, revealing shifts in the error distribution profile due to voltage scaling. In summary, node k plays a central role in fault susceptibility under nominal conditions, while nodes e, f, g, l, Sum, and Cout exhibit moderate sensitivity to transient errors. In contrast, nodes farther from the outputs, such as b, c, i, and j, demonstrate higher resilience, likely due to glitch attenuation along their paths. At near-threshold voltage, previously resilient nodes like a becoming significantly more error-prone. Errors more frequently propagate to the Sum output, indicating that the internal structure offers greater protection to the Cout path.
On the Hybrid FA under NV, nodes c and d emerge as the most sensitive components, showing the highest probabilities of generating transient faults, as presented in Table S3 from Supplementary Material. Nodes a, e, f, h, i, j, Sum, and Cout demonstrate similar, moderately sensitive behavior, contributing comparably to the overall error profile. Notably, node Cin displays exceptional robustness, with zero observed errors across all evaluated pulse scenarios, suggesting its fault resilience is context-independent. These findings offer a comprehensive perspective on fault propagation paths within the Hybrid FA and provide a foundational basis for exploring fault mitigation strategies to reinforce its most vulnerable nodes. As shown in Table S11 from Supplementary Material for the Hybrid FA evaluated under NTV conditions, nodes a, b, d, f, and g exhibit substantial sensitivity to soft errors, each contributing close to 10% of the total fault occurrences. Among these, node d stands out as the most vulnerable, responsible for approximately 12% of all recorded errors at NTV. Collectively, these five nodes account for nearly 50% of total transient faults, marking them as critical points of failure. Furthermore, a comparison with nominal voltage results highlights a significant increase of 33% in total error occurrences at NTV. Overall, the Hybrid FA demonstrates a diverse sensitivity profile across different nodes, with node d consistently ranking among the most susceptible at both voltage levels. While some nodes maintain moderate fault sensitivity, the sharp rise in error rates under NTV—particularly among nodes a, b, d, f, and g—emphasizes the need for topology-aware fault mitigation strategies. The robustness of node Cin remains noteworthy, serving as a potential architectural reference for designing more resilient logic paths.
The LETth analysis of the TGA FA highlights nodes b, h and Cout as the most vulnerable under both nominal and NTV conditions, jointly contributing to about 39% of all transient faults. This emphasizes their critical role in error propagation within the TGA topology. Unlike other FA configurations, TGA shows reduced output-level robustness, with Sum being more prone to SETs than many internal nodes. Additionally, nodes Sum and g show moderate sensitivity that varies with input pulse conditions. Although node Sum may appear less sensitive in specific cases (e.g., under pulse 001), its cumulative fault contribution confirms its criticality in the overall fault profile. When operating under NTV conditions, the TGA FA experiences a substantial degradation in reliability, with a 39% increase in total error occurrences compared to nominal voltage, as shown in Table S13. In this scenario, node h emerges as error-prone, exhibiting a slight increase in sensitivity—approximately 1% more than nodes Cin and Cout. Despite this shift, nodes h and Sum remain among the most critical contributors to overall errors. Furthermore, nodes Cout and e display a notable increase in sensitivity under NTV, warranting their inclusion in the critical node set for fault mitigation considerations. These findings indicate that the TGA FA topology is particularly vulnerable at the output nodes, with h and Cout consistently ranking as the most sensitive components under both voltage regimes. Nodes b, g, and Cout show moderate to high sensitivity, depending on the operating condition and pulse pattern. The significant rise in error rates at NTV, particularly from nodes b, h, and Cout, highlights the necessity of robust fault-tolerant design strategies to ensure reliable operation in low-voltage environments.
Similar to the behavior observed in the TGA topology, one of the most vulnerable nodes in the TFA design is the output node Cout, which consistently emerges as a critical point of failure, as results presented in Table S7 from Supplementary Material. This recurring sensitivity in output nodes across both topologies may stem from the lack of buffering at the output stage, as suggested by the structural layouts in Figure 6. At nominal voltage, nodes e and Cout each account for approximately 14% of the total error count, indicating a high level of fault sensitivity. Additionally, nodes positioned near the outputs show elevated susceptibility, with error rates that in some cases approach those of the most critical nodes. The behavior of node Sum is notably variable, exhibiting sensitivity that fluctuates depending on the input pulse scenario, thereby highlighting the need for a context-specific assessment to fully understand its role in transient fault propagation. Under NTV operation, the TFA FA topology experiences the most severe degradation among all topologies analyzed, with a marked increase of over 54% in total error occurrences, as shown in Table S15 from Supplementary Material. In this low-voltage regime, node b remains the most susceptible, contributing 14% of the total errors, while nodes e and Cout also emerge as critical fault sources, each accounting for approximately 13% of the total errors. This consistent vulnerability of output-related nodes across voltage levels highlights a systemic weakness in the TFA design, necessitating the development of targeted fault mitigation strategies, particularly under reduced voltage conditions. The context-dependent nature of node Sum adds complexity to the analysis, reinforcing the need for comprehensive, pulse-specific evaluation methods. Overall, the TFA’s high error increases under NTV positions; it is the least robust among the full adder designs examined in this study.

4.3. Input Vectors

In the context of a FA circuit, an input vector combination refers to a specific arrangement of input values applied to the circuit to perform a computation. In the realm of radiation hardness, the sensitivity of a circuit to radiation events can vary depending on the specific combination of input vectors applied. Certain input vector combinations may lead to conditions where the circuit is more vulnerable to radiation-induced errors [52].
For the Mirror FA operating at NV, 14% of the total errors manifest in response to the input vector 011, presented in Table S2 from Supplementary Material. Vector 100 also contributes significantly to error propagation, albeit with a slightly lower rate of 12%. The critical LETth values are associated with the input vector 000, establishing it as the pivotal input combination with the lowest LETth and, consequently, the most critical for the Mirror circuit under nominal conditions. Under NTV operation, the error behavior shifts. Input vector 100 exhibits the highest susceptibility to error generation, as shown in Table S10 from Supplementary Material. Furthermore, vectors 001 and 010 contribute notably to error propagation, together accounting for over 46% of the total SET occurrences. Consistent with nominal voltage operation, vector 000 maintains the lowest LETth, reaffirming its role as the most critical input combination for the Mirror topology across both voltage domains.
Shifting focus to the Hybrid FA, a distinct pattern in error behavior is observed under different operating voltages. At nominal voltage, the input vector 000 exhibits the lowest error rate, accounting for only 8% of the total error occurrences, while vector 011 demonstrates the highest error rate at nearly 15%, results available in Table S4 from Supplementary Material. Notably, vector 001 is associated with the lowest LETth, identifying it as the critical input combination for this topology under nominal conditions. Under NTV operation, the error profile shifts slightly, as presented in Table S12 from Supplementary Material. Input vectors 010, 011, 100, and 101 each present a high and nearly uniform error rate of approximately 14%, indicating increased vulnerability to error generation in the NTV regime. These same vectors are linked to the lowest LETth values under NTV conditions, designating them as the most sensitive inputs for the Hybrid FA at reduced supply levels. Consistent with the nominal case, vector 000 remains the most resilient, once again displaying the lowest error rate at just 8%.
For the TGA FA, the input vector 011 emerges as the most error-prone under both nominal and NTV conditions. At nominal voltage, vector 011 accounts for approximately 17% of the total error occurrences and is also associated with the critical LETth value. Interestingly, aside from the 000 input vector, all other input combinations eventually reach a LETth of 21 MeV · cm2/mg, indicating that for this topology, the critical LET threshold is largely independent of specific input combinations. When operating at NTV, the error distribution remains similarly concentrated around vector 011, which contributes 16% of the total SET events, reinforcing its status as the most sensitive input. Furthermore, as shown in Table S14, multiple vectors share the lowest LETth value of 2 MeV · cm2/mg, including vectors 001, 010, 011, 100, 101, and 111. This suggests a broader vulnerability across different input combinations at lower voltages, depending on the specific node affected by radiation. As observed in the Hybrid and Mirror topologies, vector 000 consistently presents the lowest error rate, confirming its robustness under both operating conditions.
For the TFA FA, the input vector 011 is consistently among the most error-prone across both voltage domains, presented in Table S8. At nominal voltage, this vector accounts for approximately 18% of the total error occurrences, highlighting its high susceptibility to transient fault generation. However, the critical LETth values for this topology are associated with the input vectors 010 and 100, rather than 011. Meanwhile, vector 000 stands out as the most robust input combination, contributing only 6% of the total observed errors. Under reduced voltage conditions the TFA topology experiences a more pronounced sensitivity to radiation-induced disturbances. As shown in Table S16 from Supplementary Material, vectors 010 and 100 become even more critical, with LETth values dropping to just 1 MeV · cm 2 / mg , indicating a heightened vulnerability at reduced supply levels. Despite this, vector 011 remains the most error-prone, contributing up to 21% of the total SET events, thus reaffirming its status as the most sensitive input vector under NTV operation. Consistent with the nominal case, vector 000 continues to demonstrate the lowest error rate, underscoring its robustness across both operating conditions.
This comprehensive analysis of input vectors sheds light on specific combinations that significantly influence error rates, aiding in the identification of critical scenarios and potential avenues for targeted mitigation strategies. The findings aid in identifying critical scenarios and offer potential avenues for targeted mitigation strategies.

4.4. Type of SEE Hit

In the context of radiation-induced effects on digital circuits, different electrical pulse types, specifically observing the n-hit and p-hit effects, result from the interaction of energetic particles with the circuit components.
Examining the impact of different radiation hits on the Mirror FA, the n-hit emerges as the most critical pulse type under both nominal and NTV operations. At nominal voltage, the n-hit generates the lowest LETth values across all outputs, underscoring its significance in inducing transient errors. This pulse type is responsible for nearly 57% of the total transient errors observed in simulations, a rate that is up to 20% higher than that associated with the p-hit, further emphasizing the dominant role of n-hits in error propagation. For the NTV conditions, the n-hit remains the critical SET pulse, accounting for approximately 58% of the total observed SET events across the combined Sum and Cout outputs. It continues to produce the lowest average LETth values for both outputs, reinforcing its criticality in low-voltage operation. These findings demonstrate the persistent dominance of n-hits in error generation for the Mirror FA, irrespective of the supply voltage.
The analysis of the Hybrid FA reveals that n-hits are the primary contributors to error generation across both nominal and NTV conditions. At nominal voltage, n-hits account for approximately 58% of the total errors, making them the dominant source of transient faults in this topology. This hit type not only generates the highest error rate but also corresponds to the critical LETth values, exhibiting the lowest LETth on average. When operating at NTV, the trend persists, with n-hits maintaining a slightly higher error rate compared to p-hits and remaining responsible for the critical LETth values. The 58% error contribution from n-hits highlights their continued significance in influencing the overall error susceptibility of the Hybrid FA at reduced supply voltages. These results underscore the dominant role of n-hits in shaping the error characteristics of the Hybrid topology, irrespective of the operating voltage.
In the TGA FA, the n-hit is the predominant cause of transient errors across both nominal and NTV operations. At nominal voltage, n-hits are responsible for up to 57% of total errors, with 44% of these manifesting specifically at the Sum output. This highlights the criticality of the n-hit in this topology, likely due to signal paths that are more vulnerable to charge deposition and resultant transient faults during high-to-low pulse transitions. During NTV operation, the n-hit remains the most significant contributor, accounting for 53% of total error occurrences. However, both n-hits and p-hits generate critical LETth values, particularly when the radiation strike impacts the Sum node. This indicates that the Sum output is sensitive to both types of hits in the TGA topology, emphasizing a broader susceptibility to transient errors at reduced supply voltages.
In contrast to the other examined topologies, the Transmission Gate Full Adder (TFA) exhibits a distinctive and balanced response to radiation-induced transient errors across both n-hit and p-hit types. At nominal voltage, error rates for both hit types are approximately 50%, indicating a symmetric vulnerability to transient faults. Analyzing individual outputs, the Cout node consistently shows an error rate around 17%, while the Sum output is more susceptible, with error rates reaching about 34% for both hit types. This uniform distribution suggests that the TFA topology is equally affected by radiation pulses, causing both rising and falling transitions. Under NTV conditions, the error profile shifts, with n-hits significantly increasing their impact and accounting for nearly 53% of total errors observed. Notably, the high-to-low (n-hit) pulses are responsible for generating all critical LETth values during the simulations, highlighting the growing dominance of n-hit-induced errors at reduced supply voltages. This behavior underscores a voltage-dependent shift in the TFA’s susceptibility to radiation, favoring n-hit effects under NTV operation.

4.5. Evaluation Overview

Examining circuits across diverse corner scenarios sheds light on potential techniques to enhance robustness in both operational contexts. This analysis seeks to uncover the nuances of how circuits react to varying voltage conditions. Identifying critical nodes, components, and operational behaviors becomes crucial in this exploration. These insights are fundamental for customizing effective mitigation strategies to fortify the resilience of FA circuits across diverse scenarios of operation. The results found across both voltage scenarios are summarized in Table 6.
When comparing the two voltage operations for the Mirror FA, the most susceptible node can vary depending on the scenario analyzed. However, it is noteworthy that the node Cout consistently exhibits the highest susceptibility rate in both scenarios. On the other hand, nodes k and l also warrant attention for improving robustness regarding voltage operations due to their high susceptibility to propagate radiation events. In the case of the Hybrid FA, error susceptibility is more balanced among nodes, with several nodes exhibiting approximately the same error rate. Notably, among the different voltage scenarios analyzed, nodes a, c, d, and f stand out as the most susceptible to propagate interference noise to the outputs. Examining the TGA topology reveals that, despite some nuances across different voltage operations, nodes b, h, sum, and cout consistently remain among the most susceptible nodes to propagate SET errors. In the case of the TFA topology, nodes d, e, and cout consistently maintained critical values. It is noteworthy that node e remained the most susceptible to propagate errors regardless of the voltage operation.
In terms of input vectors, different combinations show varying error rates. In the case of the Mirror FA at NTV, vector 100 emerges as the most error-prone. For the Hybrid FA, vectors 010, 011, 100, and 101 exhibit similar error rates. The TGA topology indicates that vectors 010 and 011 have higher error rates. In contrast, the TFA topology shows that vectors 010 and 011 are more prone to propagating errors. Critical input vectors are identified as follows: 000 for the Mirror FA, 001 for the Hybrid FA, and, for the TGA, all inputs except for vectors 00 and 101 can propagate the lowest LETth values. Lastly, the TFA topology designates vector 100 as the critical input, as it consistently results in the lowest LETth values across experiments.
Concerning SET hit types, the n-hit consistently emerges as critical for all topologies analyzed under both voltage operation conditions, except for the TFA topology in NTV operation, where the pulse n-hit exhibits a higher error rate. However, it is noteworthy that the critical LETth values are predominantly generated by the n-hit across the various scenarios.
Critical nodes vary between the FA topologies. For the Mirror FA, nodes g and j remain the most sensitive in both voltage operations. In the Hybrid FA, despite a higher increase in critical nodes in NTV, nodes c and d consistently exhibit the lowest LETth in both scenarios. In the TGA topology, nodes Sum and Cout consistently emerge as critical in propagating SETs regardless of the voltage scenario. Finally, for the TFA, nodes e and Sum stand out as critical nodes, presenting the lowest LETth values in both scenarios.
In conclusion, the voltage comparison across various FA topologies revealed nuanced sensitivities and critical nodes under different operating conditions. Each topology exhibited distinct responses to voltage variations, emphasizing the importance of tailored mitigation strategies. Analyzing these scenarios provides valuable insights into our investigation and enables us to explore strategies for optimizing robustness and reliability in integrated circuits facing diverse voltage conditions, contributing to the advancement of radiation-hardened circuit design.

5. SET Mitigation Techniques

The previous evaluation shows the SET sensitivity of the FA considered in this work, even adopting a multigate technology. Thus, mitigation techniques must be explored in the design to reach more elevated levels of SET robustness. This work investigates the application of three different mitigation approaches: Transistor Sizing, Decoupling Cells, and finally a combination of both techniques, targeting the most sensitive nodes within these circuits. It is important to note that the DCELL is always applied to the same node under evaluation for the fault injection scenario, i.e., the node where this particular particle strike is simulated, in order to assess how effectively it can dissipate the collected charge.

5.1. Total Error

The total number of error occurrences across the topologies (i.e., the absolute count of SET-induced errors propagated through the outputs) is presented in Figure 7. Without mitigation strategies, each FA topology reported a different total error occurrence, i.e, 100, 94, 88, and 70 absolute total error occurrences for the Mirror, Hybrid, TGA, and TFA, respectively.
At nominal voltage operation, the Mirror FA exhibited a reduction of nearly 26% in observed errors when applying DCELL or TS. However, combining both techniques resulted in up to a 70% decrease in errors, as highlighted in our work. For the Hybrid FA, using the DCELL technique led to a reduction of approximately 13%, while employing TS led to a reduction of about 49%. The most significant improvement for the Hybrid topology was achieved by combining TS and DCELL, resulting in a remarkable 68% reduction in errors. The TGA also showed a modest reduction in total errors when using DCELL, around 5%. However, employing the TS technique increased this reduction to up to 45%. Furthermore, the most substantial improvement was observed when both techniques were combined for this topology, resulting in almost a 60% reduction in errors. Finally, for the TFA, similar behavior for the last topologies was observed, with a reduction of approximately 13% when using DCELL, 54% when considering sizing adjustments, and nearly 63% fewer errors when combining both techniques.
In the case of the Mirror FA at NTV, the application of DCELL results in a reduction of total errors by up to 32%, as presented in Figure 8. The TS technique exhibits a more modest decrease of nearly 22% in the error count. The most promising results are achieved by combining both techniques, yielding a reduction of up to 35%. For the Hybrid FA at NTV, there is an increase of close to 33% in the total error occurrence compared to nominal values without mitigation techniques. The application of DCELL on the target nodes for this topology results in a 26% decrease in the total error count, while sizing the transistors reduces it by almost 25%. The most favorable outcomes are observed when combining both techniques, achieving a total reduction of 40% in the error count. The TGA topology at NTV presented an expressive increase of almost 39% in the total number of errors compared to its implementation at nominal voltage. The use of DCELL for this topology reduced the total error occurrence by only 8%. Similarly, the use of TS reduced the errors by close to 11%. The best outcome for the TGA was achieved by combining both techniques, resulting in an expressive reduction of close to 52% in the propagated errors. For the TFA topology at NTV, the observed errors were 54% larger than its implementation at nominal voltage, making it the critical topology when compared to switching to lower voltage operation. The usage of DCELL helps to reduce the errors by up to 21%, while sizing the transistors yields similar results with a reduction of 23%. Following the same trend as previous topologies, the best results were found when combining both techniques, with a significant reduction of up to 44%. Despite the voltage reduction potentially increasing the number of propagated errors, the results show that the use of mitigation techniques can still help improve sensitivity while preserving the potential reduction in power consumption that working at NTV can provide.
The combination of DCELL and transistor sizing consistently provides the most significant reduction in total errors across all analyzed topologies and voltage conditions, making it the most effective technique for enhancing circuit robustness. While each method, i.e, the DCELL or sizing, individually contributes to error mitigation, their combined application amplifies the benefits. This effectiveness stems from two factors: sizing adjustments reduce node susceptibility to charge collection, thereby lowering the likelihood of SETs, while DCELL adds capacitance that stabilizes critical nodes against voltage fluctuations caused by radiation. Together, these techniques improve signal integrity and reliability under radiation exposure. However, it is important to note that the transistor sizing will introduce a consequence effect for high LET particles. In these conditions, the transistor sizing will imply a larger device area, and higher charge-collection efficiency may offset the transistor sizing as a mitigation approach [53].

5.2. Input Vectors

The most susceptible vector to generate an error in the Mirror FA while applying the DCELL technique is 110; this vector contributes to 16% of the total error for the topology. However, vectors 001 and 010 have major contributions to propagating the pulse to the outputs. Together, they represent close to 30% of the total error occurrence. On the other hand, for the scenario using the TS vector, 110 and 111 became the most susceptible to generate errors, and when combining both techniques, the vector 011 is the most sensitive. Except for the TS scenario where the critical LETth was observed for 111 vector, all critical LETth values were generated for the combination 000 in the Mirror topology. On the other hand, at NVT operation and using DCELL, it is possible to observe that vectors 001, 010, and 101 are the most susceptible to generating errors, presenting together up to 42% of the total errors. On the other hand, with TS, the vector 001 generates most errors, and when combining techniques, the vectors 001 and 010 are the ones that generate up to 28%. However, for all scenarios at NTV, vector 000 is the critical one, presenting the lowest LETth values despite the mitigation technique at the low voltage operation.
For the Hybrid implementation applying DCELL at nominal operation, vector 100 propagated 20 errors, representing up to 26% of the total error occurrence, being the most sensitive ones for this scenario. Vectors 010 and 011 are also among the most susceptible that can propagate errors for the DCELL scenario. Using TS, we reduced the total errors, and fewer errors were propagated by each vector, as shown in Figure 9. Applying the sizing technique to all transistors, as described in the methodology section, alters the circuit behavior, making the input vector 011 the new critical one, and the vector 011 becomes the critical one, propagating approximately 21% of the observed errors. Combining both techniques presented the best results in total error occurrence; however, vector 011 still represents one of the most sensitive ones, along with vector 100.
At NTV, and using DCELL, all vectors present the same susceptibility error rate up to 13% of the total error, except for vectors 001 and 110, which show a smaller rate of close to 12% on average. When using TS at NTV for the Hybrid topology, only vector 011 presents the highest error susceptibility of almost 16%. On average, for all scenarios, vector 010 is the critical vector for the Hybrid FA operating at NTV, as Figure 10 shows.
For the TGA FA at nominal voltage, vectors 011 and 100 are the most error-prone under DCELL, each with 21 errors, followed by 110. TS alone reduces the overall error count and distributes errors more evenly, though 011 still stands out. The combined TS + DCELL approach achieves the lowest error rates, with no vector exceeding six errors, though 000 remains slightly more sensitive, indicating some residual vulnerability. At NTV, error susceptibility increases, with vector 011 showing the highest count (30 errors) in the unprotected case, followed by 110 and 001. DCELL and TS reduce errors slightly, but 011 remains dominant across all techniques. The combined approach further lowers total errors, yet vectors 011, 001, and 110 continue to show heightened sensitivity. Overall, vector 011 is consistently the most critical across both voltage regimes and mitigation strategies.
For the TFA full adder at NV, vectors 011 and 100 are the most error-prone in the unprotected case, with 19 and 17 errors, respectively. Applying DCELL slightly increases total errors, with 011 remaining the most critical, followed by 100 and 010. TS reduces overall errors and distributes them more evenly, though 100 still stands out. The combined TS + DCELL scenario yields a nearly uniform distribution (12–14 errors per vector), indicating effective equalization but limited reduction in total faults. Vector 100 consistently shows higher susceptibility. At NTV, the TFA becomes more error-sensitive overall, with vector 011 leading at 27 errors, followed by 110, 100, and 010. DCELL maintains this pattern, with 011 still dominant. TS reduces errors moderately, but 011 and 100 remain critical. Under TS + DCELL, error rates drop slightly, yet 011 and 110 continue to show higher vulnerability. Across all scenarios and voltages, vector 011 emerges as the most consistently sensitive, suggesting the need for targeted mitigation.

5.3. Hit Type

Analyzing the SET hit type for all the outputs of the Mirror FA applying the DCELL technique at nominal voltage, p-hit is responsible for up to 52% of the total error occurrence, as Figure 11 shows. Despite that, n-hit generates, on average, all the lowest LETth, including the critical value for the circuit. For the TS technique, the n-hit type is responsible for most errors, accounting for up to 57% of all errors. When combining the techniques, also pulse high-to-low is responsible for 56% of the total error. Thus, n-hit is critical to consider in this operational mode for Mirror FA, regardless of the mitigation technique used.
In the Hybrid FA, employing DCELL results in p-hit accounting for nearly 52% of the total errors, while n-hit emerges as critical in the TS scenario, contributing approximately 65% of the total errors. This scenario exhibits the largest disparity between the two hits used in the experiments. Additionally, n-hit is responsible for generating all the lowest LETth values in the scenario with transistor sizing and DCELL. This indicates that transitions induced by negative charge collection are more prone to propagation in the evaluated topologies. Investigating the TGA topology, both hit types exhibit similar error rates, despite the mitigation technique employed. However, when employing DCELL, n-hit shows a slight increase compared to p-hit. This trend persists when sizing the transistors, with only a 1% difference observed between the two hit types. Once again, n-hit emerges as critical for the TGA topology. Similarly to the TGA, in the TFA topology, the difference between the two hit types is minimal across all mitigation technique scenarios. When employing DCELL, n-hit leads to a mere 4% gap in the observed errors compared to pulse p-hit. This consistent trend underscores the significance of n-hit as a potential critical factor in the TFA topology.
Reducing the voltage operation alters the critical pulse dynamics across the evaluated scenarios, as highlighted in Figure 12. In the case of the Mirror FA, employing DCELL shifts n-hit to be the most susceptible pulse, responsible for 54% of errors, highlighted in Figure 12. Conversely, with the TS technique, p-hit emerges as critical, accounting for 54% of errors. Combining DCELL and TS maintains n-hit as critical, with a 55% error rate. For the Hybrid FA, differences in error rates between hit types are reduced compared to the nominal voltage implementation. The DCELL technique in this topology predominantly propagates errors from n-hit to the output. With TS and TS + DCELL implementations, both hit types contribute equally to errors, with n-hit being critical across all scenarios.
In the TGA topology at NTV, DCELL maintains n-hit as critical, responsible for 52% of errors. Similarly, TS results indicate that n-hit causes 53% of errors. Combining both techniques also highlights the high-to-low hit as critical for error propagation. Similar to the other topologies, the n-hit can be defined as the critical one for the TGA topology. Finally, for the TFA topology, voltage reduction alters the critical hit behavior. With DCELL, both hit types exhibit similar error rates, each contributing 50% to total errors. However, with TS and the combined scenario, the p-hit becomes critical, accounting for 51% of errors. Notably, the low-to-high hit emerges as critical, generating most errors on average across scenarios.
The results demonstrate that hit type dynamics are closely tied to the effectiveness of mitigation techniques and the impact of voltage scaling on error rates. Across different topologies, techniques like DCELL and transistor sizing influence how high-to-low (n-hit) and low-to-high (p-hit) pulses propagate errors. DCELL tends to reinforce the dominance of n-hits as the critical source of errors, while TS often balances the error contribution between both hit types. Moreover, voltage reduction significantly alters hit behavior—what is critical at nominal voltage may shift under near-threshold conditions, underscoring the complex interplay between voltage, hit type, and mitigation strategy. Understanding these relationships is essential for tailoring robust circuit designs in radiation-prone environments.

5.4. Critical Nodes

In the investigation of critical LETth values to pinpoint the most sensitive regions at nominal voltage, we focused on the Mirror FA implementation as our reference. At nominal voltage, analysis across all topologies reveals that mitigation techniques—DCELL, TS, and their combination—consistently enhance circuit resilience by increasing LETth values, though with varying effectiveness, as Figure 13 highlights. For the Mirror FA, DCELL yields a modest 1.14× improvement, with node j remaining critical and node g showing notable gains (16 to 22 MeV · cm 2 / mg ). TS nearly doubles LETth, shifting the critical node to b, while the combined application achieves a 3× enhancement, particularly benefiting node j, which remains consistently critical. In the Hybrid FA, critical nodes vary by technique: DCELL highlights nodes e and j (1.52× increase), TS shifts focus to node c with a 1.68× improvement, and their combination delivers a 2.30× increase. All techniques contribute to increased LETth, but critical node locations shift depending on the method used. For the TGA topology, outputs Sum and Cout are persistently the most sensitive, with DCELL raising LETth by 1.59× (to 22 MeV · cm 2 / mg ), TS by 2.20× (to 31), and the combination by 2.31×. Similarly, in the TFA, the smallest improvement is seen with DCELL (1.11×), while TS provides a 1.83× boost, making node Sum critical at 26 MeV · cm 2 / mg ; combining both yields a 1.93× improvement. Across all scenarios, output nodes such as Sum and Cout consistently exhibit the lowest LETth, underscoring their vulnerability and the importance of targeted mitigation.
Reducing the voltage to near-threshold operation significantly impacted the critical LETth across all evaluated topologies. The Mirror FA continues to serve as a reference, with node j consistently presenting the lowest LETth values. At NTV, DCELL alone results in a LETth of 1 MeV · cm 2 / mg for node j, while TS improves this by 3.07×, though j remains critical. When combining TS and DCELL, both nodes g and j reach an improved LETth of 3.30×, confirming node j as a consistently critical node across voltage scenarios. In the Hybrid FA, DCELL at NTV leaves nearly all nodes equally sensitive with a LETth of 2 MeV · cm 2 / mg , whereas TS targets only nodes a and g, improving LETth by 2.77×. Combining both techniques yields a further increase to 3.27 MeV · cm 2 / mg , with TS proving slightly more effective in mitigating errors at NTV. For the TGA topology, DCELL boosts LETth by 1.68×, affecting nodes g, Sum, and Cout, while TS raises it by 2.15×. The combined approach results in a 2.46× increase, with output nodes remaining the most critical across all cases. Similarly, in the TFA topology, DCELL leads to a modest 1.31× improvement, TS doubles LETth to 2 MeV · cm 2 / mg for output nodes, and the combined technique achieves a 2.23× increase, particularly improving the robustness of node Sum. Across all topologies and mitigation methods, output nodes consistently emerge as critical at NTV, emphasizing the importance of targeted hardening in these regions.
In summary, the critical nodes in the analyzed topologies exhibit varying degrees of sensitivity under nominal and NTV voltage conditions. While the Mirror FA consistently identified critical nodes across different scenarios, the Hybrid FA exhibited fluctuations in critical node identification, depending on the mitigation technique employed. On the other hand, the TGA topology maintained its critical output nodes across all scenarios, highlighting the importance of output node robustness. Finally, the TFA topology demonstrated that output nodes consistently remained critical, emphasizing their significance in determining overall circuit robustness. These findings underscore the need for tailored mitigation techniques to address specific vulnerabilities to the different circuit topologies under diverse voltage conditions.

5.5. Drawbacks of the Mitigation Approaches

Finally, we have included a discussion about the drawbacks of the insertion of the mitigation approaches on the power consumption and delay characteristics for the four full adder topologies considered, also observing the impacts at nominal and near-threshold operation.

5.5.1. Power Consumption

The data presented in Table 7 offers a comprehensive comparison of power consumption across four different FA topologies evaluated under the mitigation techniques at both nominal voltage NTV conditions.
Under nominal voltage, the TFA topology emerges as the most power-efficient design. It achieves the lowest power consumption, consuming close to 8.53 μ W, outperforming all other configurations. Even when enhanced with mitigation techniques such as DCELL or sizing, TFA maintains relatively low power overhead compared to its baseline. In contrast, the Mirror topology consistently shows the highest power consumption under nominal voltage. This is particularly evident in the sizing and combining of both techniques, where the power increases above 22 μ W, suggesting that this design may not be suitable for power-constrained applications, especially when mitigation techniques are introduced.
TGA also presents a good performance at nominal voltage, especially without mitigation techniques, matching the Hybrid topology results closely. However, under NTV, the power consumption increases noticeably when sizing and DCELL are included. This indicates that mitigation techniques introduce additional overhead that affects the TGA design more significantly than others. For instance, the combined techniques configuration for TGA results in 573.66 μ W, which is notably higher than its standard NTV counterpart. This behavior suggests that while TGA is a strong candidate for nominal voltage applications, its efficiency under NTV may be compromised by the inclusion of fault-tolerant enhancements.
Across all topologies, the application of NTV leads to a dramatic reduction in power consumption by an order of magnitude compared to nominal voltage. This confirms the effectiveness of near-threshold operation in reducing energy use, a critical consideration in ultra-low-power design. However, it also highlights the importance of topology choice, as the relative power advantages between topologies shift somewhat under NTV.
The impact of mitigation techniques such as DCELL and sizing is heavily topology-dependent. While DCELL often introduces a small power overhead due to increased transistor count, in certain cases like the Hybrid topology, it actually results in reduced power consumption under NTV. This suggests that for certain topologies, DCELL might optimize critical paths or rebalance current flows in a way that minimizes leakage, especially at NTV. Sizing generally increases power consumption, as expected, due to larger transistor widths increasing capacitance and leakage. When both mitigation strategies are combined, power consumption is generally the highest, reflecting the compounded effect of both overheads. Even so, topologies such as TFA handle this combination better than others, maintaining relatively modest increases in power.
In conclusion, the TFA topology stands out as the most power-efficient option across both voltage domains and under various mitigation strategies. Its minimal power overhead makes it suitable for energy-critical applications, particularly in NTV regimes. The Hybrid topology, especially when paired with DCELL, presents a compelling balance between resilience and power efficiency, showing promising results under NTV. Conversely, the Mirror topology appears to be the least efficient in terms of power, especially when mitigation techniques are applied, making it a less suitable candidate for low power design. These insights can guide the selection of appropriate full adder designs in future fault-tolerant and energy-efficient digital systems.

5.5.2. Critical Delay

The results shown in Table 8 detail the critical delay behavior for the topologies across the scenarios evaluated in this work. The measurements are given for both nominal and NTV conditions, providing insight into how each topology and mitigation technique affects performance in different conditions.
Under nominal voltage, the Mirror topology demonstrates relatively low critical delays across all configurations, with no mitigation techniques presenting a delay of 17.90 ps and only slight increases with the addition of mitigation techniques. The use of DCELL increases the delay to 21.58 ps, while the sizing adds a small overhead to 18.06 ps. Combining both techniques raises the delay further to 19.91 ps. These values suggest that the Mirror topology is inherently fast under nominal conditions and that the impact of mitigation techniques on delay is modest, maintaining its suitability for high-speed applications in standard voltage regimes.
The Hybrid topology presents a very different behavior. While its nominal operation and applying DCELL show moderate delays at 43.62 ps and 47.52 ps respectively, the introduction of sizing results in a dramatic increase in delay to 14.01 ns, a value orders of magnitude higher than the others. This excessive delay persists even when DCELL is added alongside sizing. These results indicate a severe performance bottleneck when sizing is applied to the Hybrid topology, possibly due to an unfavorable combination of increased capacitance and the internal logic structure. These results show that the Hybrid design does not scale well with increased drive strength under nominal voltage, and the resulting degradation in delay is substantial.
The TGA topology shows relatively stable and efficient delay performance under nominal conditions. Adding DCELL actually improves its delay slightly from 19.45 ps to 16.29 ps, suggesting that the redundancy structure may contribute positively to signal propagation in this particular design. However, sizing alone increases the delay to 25.86 ps, and combining it with DCELL maintains this level. This indicates that while DCELL alone may optimize the path in some way, the sizing overhead hides any such benefits when applied.
TFA under nominal conditions displays modest delays, with the standard configuration at 23.48 ps. As mitigation techniques are added, the delays progressively increase, reaching 35.84 ps in the combined techniques case. While the delay trend is logical and expected due to the addition of transistor count and drive strength, the increments are not catastrophic. TFA remains relatively stable and predictable across configurations, though not as fast as Mirror or TGA in its unprotected form.
Under NTV conditions, delays naturally increase due to slower transistor switching, and this effect is observed across all topologies. For Mirror, the critical delay rises from 112.82 ps without mitigation to 132.42 ps with full combined mitigation. The growth is linear and moderate, maintaining the Mirror topology as a fast, although power-hungry, design. Hybrid again demonstrates an extreme reaction to sizing, with the delay increasing from 186.70 ps in the standard case to 14.08 ns with sizing, whether DCELL is included or not. This confirms that the sizing technique may be incompatible with the Hybrid topology under low voltage operation, severely degrading its performance.
TGA shows a more mixed behavior in NTV. Its standard delay of 141.22 ps increases when DCELL is added, reaching 164.42 ps. The sizing configuration reduces the delay slightly to 130.09 ps, though the combination with DCELL presents the delay up to 194.31 ps. This variability suggests some sensitivity of TGA to process and structural changes under low voltage, but its delays remain within a usable range overall.
TFA maintains moderate delay increases under NTV, with the standard delay at 138.83 ps and rising to 260.50 ps in the combined configuration. Similar to the nominal case, the delay progression is steady and expected, reinforcing the consistency even as mitigation techniques are introduced.
From this analysis, the delay behavior across these topologies is heavily influenced by the combination between the logic structure of each topology and the mitigation technique applied. While Mirror is the most delay-efficient, TFA offers a more balanced and predictable response. Hybrid, despite some power advantages, presents limitations in delay when sizing is introduced, particularly under NTV, and the performance for TGA topology is generally acceptable but somewhat sensitive to structural changes. These insights are critical when selecting the most appropriate FA topology for applications with performance and reliability constraints.

5.6. General Overview Considering Robustness, Power and Delay

The analysis of power consumption and critical delay indicates that while mitigation techniques like DCELL and transistor sizing can enhance robustness, they invariably introduce overheads, dependent on the chosen full adder topology and the operating voltage. This leads to explicit design guidelines for selecting appropriate full adder (FA) topologies based on desired performance characteristics and the need for fault tolerance.
For designs where absolute minimum power consumption is paramount, even before considering fault tolerance, the TFA topology is the option. Its inherent efficiency (8.53 μ W nominal, 312.75 nW NTV) makes it the most energy-efficient choice. The TFA’s ability to maintain relatively low power overheads with mitigation techniques suggests that its internal structure is less susceptible to the increased capacitance and leakage from DCELL and sizing. Designers prioritizing low power should select TFA and then evaluate the specific power penalty of their chosen mitigation. TFA’s power efficiency advantage becomes even more pronounced at NTV. This indicates that at lower voltages, where leakage components become more dominant, topologies with inherently fewer leakage paths or transistors offer more significant benefits. The Mirror topology should be avoided in power-constrained applications, as it consistently consumes the most power, particularly with mitigation (above 22 μ W nominal). Its high transistor count or specific gate arrangements likely lead to increased switching activity and leakage that are detrimental to power budgets.
The Mirror FA is the most recommended topology for High-Performance, Power-Agnostic Designs. It offers the lowest critical delays (17.90 ps nominal standard) and maintains competitive performance even with mitigation. Designers targeting high-performance computing should consider Mirror, understanding the associated power penalty. On the other hand, the Hybrid topology with transistor sizing is not recommended if delay is a concern. This combination results in a large increase in delay for applications requiring a balance between power efficiency and predictable delay performance with mitigation, TFA is a robust choice. Its delays are not the lowest, but they increase steadily and predictably with mitigation. The consistent increase in TFA’s delay with mitigation reinforces its reliability as a predictable design. While it might not be the fastest, its performance is less prone to unexpected degradation compared to, for example, the Hybrid. This makes it suitable for designs where performance variations are undesirable.
To provide explicit design guidelines, we define four application scenarios described in Table 9 with different reliability, performance, and power conditions. Considering these scenarios, Table 10 summarizes the recommended full adder topologies based on common application scenarios, considering both operating voltage and mitigation needs. For scenario 1, Mirror FA is the best option with mitigation techniques adding minimal delay overhead; however, Mirror FA presents higher power consumption. It is recommended to avoid Hybrid, despite the good outcomes on SET reliability, due to the sizing impact on delay, and the TFA/TGA due to the slower native performance. On the opposite side, for scenario 2, the TFA shows up as the best option considering battery-powered, IoT, or biomedical devices due to the inherent low power and predictable delay behavior. Scenarios 3 and 4 are specific niche cases, considering situations where the transistor sizing is necessary (3) or DCELL can be explored to improve the delay (4). The best option when transistor sizing is a necessary mitigation strategy, for example, in applications where sizing is explored to combat process variation or improve drive strength, the Hybrid must be avoided, and we recommend detailed characterization of the exact power/delay trade-offs considering the transistor sizing requirements. Finally, for scenario 4, TGA with DCELL slightly reduced the delay due to its redundancy structure that might introduce parallel, faster paths or optimize signal propagation in specific scenarios. In this case, a specific characterization for the DCELL is also required.
Due to the complex interactions between topology, operating voltage, and mitigation techniques, detailed simulations, as demonstrated by these results, are necessary in the context of design for reliability. Designers should not rely on generalized assumptions but validate performance for their specific design choices. By following these guidelines, designers can make more informed decisions, selecting the full adder topology and mitigation approach that best aligns with their application’s specific requirements and constraints, avoiding costly pitfalls in the process.

6. Conclusions

This work evaluated the radiation robustness of FinFET-based circuits by analyzing four common full adder topologies—Mirror FA, Hybrid FA, TGA, and TFA—under two voltage conditions and using three mitigation scenarios. Two techniques, DCELL and Transistor Sizing, were applied to assess their effectiveness in reducing error rates and enhancing node-level resilience. Results show that combining both techniques consistently provides the most substantial improvement in total error reduction and LETth across all topologies, outperforming the application of each technique individually.
The adoption of mitigation techniques reduced total error occurrences by up to 60% at nominal voltage, depending on the topology, and more than 35% at NTV. The Hybrid topology exhibited, on average, 2% fewer errors than the Mirror FA at nominal voltage, but 5% more at NTV. Among the pass-transistor logic styles, the TFA showed 12% fewer errors than the TGA at nominal voltage and up to 11% at NTV. Critical nodes varied with the technique applied. For both voltage conditions, nodes g and j were critical in the Mirror FA, while nodes associated with the Cin path were critical in the Hybrid FA. In the TGA, output nodes were critical across both voltage domains, whereas in the TFA, the Sum output nodes remained critical.
LETth improvements reached up to 3.30× with combined mitigation techniques and averaged 2.74× across all topologies. DCELL alone provided a moderate enhancement of approximately 1.48×. The Hybrid FA was, on average, twice as robust as the Mirror FA when using DCELL across both voltage operations. However, with TS alone, the Mirror FA exhibited the most significant improvement, with LETth values 2× greater than those of the Hybrid implementation. The greatest improvement was observed in the Mirror FA at NTV using both techniques, resulting in nearly a 3.30-fold increase in critical LETth. Although the TGA and TFA also showed improvements under both voltage conditions, the LETth increase did not exceed 2.2×. Combining both techniques yielded the best improvements across all topologies and scenarios, with a minimum improvement of more than 2× in LETth.
The most susceptible input vectors varied depending on the mitigation technique. Nevertheless, for Mirror, Hybrid, and TGA topologies, most errors resulted from particle collisions that occurred during n-hit transitions when the output capacitance was charging. An exception was found in the TFA at NTV, where p-hit transitions became critical. Overall, the n-hit condition was the dominant source of errors and was associated with nearly all the lowest LETth values recorded in the experiments.
Transistor sizing mitigates soft errors by increasing the critical charge of sensitive nodes, while DCELL stabilizes node voltages through added capacitance, suppressing radiation-induced voltage fluctuations. Across all topologies, mitigation strategies influenced the propagation of error-inducing pulses differently. PTL styles demonstrated heightened vulnerability due to the easier propagation of errors from internal nodes to outputs, despite having a lower overall error count.
Understanding the interaction between pulse types, circuit topology, and mitigation strategies is essential for improving the radiation tolerance of integrated circuits. These insights guide the development of tailored hardening techniques suited to specific logic styles and node sensitivities. The comprehensive analysis presented in this work lays a foundation for the continuous advancement of radiation-hardened standard cell libraries, enabling rapid and validated ASIC implementation for the evaluated adder topologies and mitigation techniques. Future work will focus on extending the full adder evaluation when considering laser/particle beam testing and developing a compact, radiation-hardened standard cell library at 7 nm FinFET technology to allow for the integration of a set of robust cells exploring DCELL and transistor sizing approaches in the EDA tools, providing a standardized approach to robust design for radiation-prone environments.

Supplementary Materials

The Supplementary Materials are available at https://zenodo.org/records/15938733 (accessed on 18 July 2025).

Author Contributions

Conceptualization, R.O., R.B.S. and C.M.; methodology, R.O., R.B.S. and C.M.; software, R.O.; validation, R.O.; writing—original draft preparation, R.O.; writing—review and editing, R.O., R.B.S. and C.M.; supervision, R.B.S. and C.M.; funding acquisition, C.M. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by Conselho Nacional de Desenvolvimento Científico e Tecnológico (CNPq) grant number 303822/2019-8.

Data Availability Statement

Data are contained within the article and Supplementary Materials.

Acknowledgments

The authors would like to thank the financial support from the Brazilian institutes: Coordenação de Aperfeiçoamento de Pessoal de Nível Superior-Brasil (CAPES), Fundação de Amparo à Pesquisa do Estado do Rio Grande do Sul (FAPERGS), and Conselho Nacional de Desenvolvimento Científico e Tecnológico (CNPq).

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Jansson, J.; Keränen, P.; Kostamovaara, J.; Baschirotto, A. CMOS technology scaling advantages in time domain signal processing. In Proceedings of the 2017 IEEE International Instrumentation and Measurement Technology Conference (I2MTC), Turin, Italy, 22–25 May 2017; pp. 1–5. [Google Scholar] [CrossRef]
  2. Dodd, P.E.; Shaneyfelt, M.R.; Schwank, J.R.; Felix, J.A. Current and Future Challenges in Radiation Effects on CMOS Electronics. IEEE Trans. Nucl. Sci. 2010, 57, 1747–1763. [Google Scholar] [CrossRef]
  3. Navi, K.; Maeen, M.; Foroutan, V.; Timarchi, S.; Kavehei, O. A novel low-power full-adder cell for low voltage. Integration 2009, 42, 457–467. [Google Scholar] [CrossRef]
  4. Sajit, A.S.; Turi, M.A. SEU tolerance of FinFET 6T SRAM, 8T SRAM and DICE memory cells. In Proceedings of the 2017 IEEE 7th Annual Computing and Communication Workshop and Conference (CCWC), Las Vegas, NV, USA, 9–11 January 2017; pp. 1–5. [Google Scholar] [CrossRef]
  5. Olowogemo, S.A.; Qiu, H.; Lin, B.T.; Robinson, W.H.; Limbrick, D.B. Model-Based Analysis of Single-Event Upset (SEU) Vulnerability of 6T SRAM Using FinFET Technologies. In Proceedings of the 2022 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Austin, TX, USA, 19–21 October 2022; pp. 1–4. [Google Scholar] [CrossRef]
  6. Sharma, M.; Gill, S.S.; Sharma, K. Comparative Analysis 6T, 8T and 10T SRAM in CMOS and FinFET Technologies: A Review. In Proceedings of the 2024 International Conference on Computer, Electronics, Electrical Engineering & Their Applications (IC2E3), Srinagar Garhwal, India, 6–7 June 2024; pp. 1–6. [Google Scholar] [CrossRef]
  7. Gomi, Y.; Takami, K.; Mizuno, R.; Niikura, M.; Deng, Y.; Kawase, S.; Watanabe, Y.; Abe, S.I.; Liao, W.; Tampo, M.; et al. Muon-Induced SEU Cross Sections of 12-nm FinFET and 28-nm Planar SRAMs. In Proceedings of the 2023 23rd European Conference on Radiation and Its Effects on Components and Systems (RADECS), Toulouse, France, 25–29 September 2023; pp. 1–4. [Google Scholar] [CrossRef]
  8. Rathod, S.S.; Saxena, A.K.; Dasgupta, S. Comparative Analysis of SEU in FinFET SRAM Cells for Superthreshold and Subthreshold Supply Voltage Operation. IEEE Trans. Electron Devices 2011, 58, 3630–3634. [Google Scholar] [CrossRef]
  9. Petrosyants, K.O.; Silkin, D.S.; Popov, D.A.; Ismail-Zade, M.R. Analysis of SEU effects in MOSFET and FinFET based 6T SRAM Cells. In Proceedings of the 2022 Moscow Workshop on Electronic and Networking Technologies (MWENT), Moscow, Russian, 9–11 June 2022; pp. 1–4. [Google Scholar] [CrossRef]
  10. Fang, Y.; Oates, A.S. Neutron-Induced Charge Collection Simulation of Bulk FinFET SRAMs Compared with Conventional Planar SRAMs. IEEE Trans. Device Mater. Reliab. 2011, 11, 551–554. [Google Scholar] [CrossRef]
  11. Oliveira, R.N.M.; da Silva, F.G.R.G.; Reis, R.; Meinhardt, C. Mirror Full Adder SET Susceptibility on 7nm FinFET Technology. In Proceedings of the 2020 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Glasgow, UK, 23–25 November 2020; pp. 1–4. [Google Scholar] [CrossRef]
  12. Dokania, V.; Imran, A.; Islam, A. Investigation of robust full adder cell in 16-nm CMOS technology node. In Proceedings of the IMPACT-2013, Aligarh, India, 23–25 November 2013; pp. 207–211. [Google Scholar]
  13. Oliveira, R.N.M.; da Silva, F.G.R.G.; Reis, R.; Schvittz, R.B.; Meinhardt, C. Improving Soft Error Robustness of Full Adder Circuits with Decoupling Cell and Transistor Sizing. In Proceedings of the 2022 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI), Porto Alegre, Brazil, 22–26 August 2022; pp. 1–6. [Google Scholar] [CrossRef]
  14. Boudenot, J.C. Radiation space environment. In Radiation Effects on Embedded Systems; Springer: Dordrecht, The Netherlands, 2007; pp. 1–9. [Google Scholar]
  15. Gaillard, R. Single event effects: Mechanisms and classification. In Soft Errors in Modern Electronic Systems; Springer: New York, NY, USA, 2011; pp. 27–54. [Google Scholar]
  16. Dodd, P.E. Physics-based simulation of single-event effects. IEEE Trans. Device Mater. Reliab. 2005, 5, 343–357. [Google Scholar] [CrossRef]
  17. Sexton, F.W. Destructive single-event effects in semiconductor devices and ICs. IEEE Trans. Nucl. Sci. 2003, 50, 603–621. [Google Scholar] [CrossRef]
  18. Mishra, P.; Muttreja, A.; Jha, N.K. Nanoelectronic Circuit Design; Springer: New York, NY, USA, 2011; pp. 23–54. [Google Scholar]
  19. Park, J.T.; Colinge, J.; Diaz, C.H. Pi-Gate SOI MOSFET. IEEE Electron Device Lett. 2001, 22, 405–406. [Google Scholar] [CrossRef]
  20. Yang, F.-L.; Chen, H.-Y.; Chen, F.-C.; Huang, C.-C.; Chang, C.-Y.; Chiu, H.-K.; Lee, C.-C.; Chen, C.-C.; Huang, H.-T.; Chen, C.-J.; et al. 25 nm CMOS Omega FETs. In Proceedings of the Digest. International Electron Devices Meeting, San Francisco, CA, USA, 8–11 December 2002; pp. 255–258. [Google Scholar]
  21. Singh, N.; Agarwal, A.; Bera, L.K.; Liow, T.Y.; Yang, R.; Rustagi, S.C.; Tung, C.H.; Kumar, R.; Lo, G.Q.; Balasubramanian, N.; et al. High-performance fully depleted silicon nanowire (diameter /spl les/ 5 nm) gate-all-around CMOS devices. IEEE Electron Device Lett. 2006, 27, 383–386. [Google Scholar] [CrossRef]
  22. Wilson, D.; Hayhurst, R.; Oblea, A.; Parke, S.; Hackler, D. Flexfet: Independently-Double-Gated SOI Transistor With Variable Vt and 0.5V Operation Achieving Near Ideal Subthreshold Slope. In Proceedings of the 2007 IEEE International SOI Conference, Indian Wells, CA, USA, 1–4 October 2007; pp. 147–148. [Google Scholar]
  23. Cartwright, J. Intel Enters the Third Dimension. 2011. Available online: https://spectrum.ieee.org/intels-new-transistors-enter-the-third-dimension (accessed on 15 January 2025).
  24. James, D. Intel to Present on 22-nm Tri-Gate Technology at VLSI Symposium. 2012. Available online: https://www.semiconductor-digest.com/intel-to-present-on-22-nm-tri-gate-technology-at-vlsi-symposium/ (accessed on 18 July 2025).
  25. Auth, C. 22-nm fully-depleted tri-gate CMOS transistors. In Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, San Jose, CA, USA, 9–12 September 2012; pp. 1–6. [Google Scholar] [CrossRef]
  26. Colinge, J.P. FinFETs and Other Multi-Gate Transistors, 1st ed.; Springer: New York, NY, USA, 2007. [Google Scholar]
  27. Lee, S.; Kim, I.; Ha, S.; Yu, C.; Noh, J.; Pae, S.; Park, J. Radiation-induced Soft Error Rate Analyses for 14 nm FinFET SRAM Devices. In Proceedings of the 2015 IEEE International Reliability Physics Symposium, Monterey, CA, USA, 19–23 April 2015; pp. 4B.1.1–4B.1.4. [Google Scholar] [CrossRef]
  28. Zhang, H.; Guo, Y.; Wang, S.; Sun, Y.; Mei, B.; Tang, M.; Liu, J. Simulation Study on the Charge Collection Mechanism of FinFET Devices in Single-Event Upset. Micromachines 2024, 15, 201. [Google Scholar] [CrossRef]
  29. Seifert, N.; Jahinuzzaman, S.; Velamala, J.; Ascazubi, R.; Patel, N.; Gill, B.; Basile, J.; Hicks, J. Soft Error Rate Improvements in 14-nm Technology Featuring Second-Generation 3D Tri-Gate Transistors. IEEE Trans. Nucl. Sci. 2015, 62, 2570–2577. [Google Scholar] [CrossRef]
  30. Clark, L.T.; Vashishtha, V.; Shifren, L.; Gujja, A.; Sinha, S.; Cline, B.; Ramamurthy, C.; Yeric, G. ASAP7: A 7-nm finFET predictive process design kit. Microelectron. J. 2016, 53, 105–115. [Google Scholar] [CrossRef]
  31. Mushtaq, U.; Akram, M.W.; Prasad, D.; Islam, A.; Nagar, B.C. Impact of Variability on Novel Transistor Configurations in Adder Circuits at 7nm FinFET Technology. J. Circuits Syst. Comput. 2024, 33, 2450237. [Google Scholar] [CrossRef]
  32. Moraes, L.; Zimpeck, A.; Meinhardt, C.; Reis, R. Evaluation of variability using Schmitt trigger on full adders layout. Microelectron. Reliab. 2018, 88–90, 116–121. [Google Scholar] [CrossRef]
  33. Dokania, V.; Islam, A. Circuit-level design technique to mitigate impact of process, voltage and temperature variations in complementary metal-oxide semiconductor full adder cells. IET Circuits Devices Syst. 2015, 9, 204–212. [Google Scholar] [CrossRef]
  34. Calomarde, A.; Rubio, A.; Moll, F.; Gamiz, F. Active Radiation-Hardening Strategy in Bulk FinFETs. IEEE Access 2020, 8, 201441–201449. [Google Scholar] [CrossRef]
  35. Calomarde, A.; Manich, S.; Rubio, A.; Gamiz, F. Influence of Punch Trough Stop Layer and Well Depths on the Robustness of Bulk FinFETs to Heavy Ions Impact. IEEE Access 2022, 10, 47169–47178. [Google Scholar] [CrossRef]
  36. Valasa, S.; Kotha, V.R.; Bhukya, S.; Bheemudu, V.; Tayal, S.; Vadthiya, N. Study of HeavyIon Irradiation Effects in FinFETs at Sub-5 nm Technology Node: Reliability Perspective. In Proceedings of the 2024 28th International Symposium on VLSI Design and Test (VDAT), Vellore, India, 1–3 September 2024; pp. 1–5. [Google Scholar] [CrossRef]
  37. Valasa, S.; Kotha, V.R.; Vadthiya, N. Radiation Hardness on Dielectric/Ferroelectric Stacked Negative Capacitance Multi-Gate Metal Oxide Semiconductor FETs at Sub-3nm Technology Node: Device to CMOS Inverter Layout. IEEE Trans. Dielectr. Electr. Insul. 2025, 1, 1–8. [Google Scholar] [CrossRef]
  38. Copetti, T.; Medeiros, G.C.; Taouil, M.; Hamdioui, S.; Poehls, L.B.; Balen, T. Evaluating the Impact of Ionizing Particles on FinFET -based SRAMs with Weak Resistive Defects. In Proceedings of the 2020 IEEE Latin-American Test Symposium (LATS), Maceio, Brazil, 30 March–2 April 2020; pp. 1–6. [Google Scholar] [CrossRef]
  39. Xiong, Y.; Pieper, N.J.; Feeley, A.T.; Narasimham, B.; Ball, D.R.; Bhuva, B.L. Single-Event Upset Cross-Section Trends for D-FFs at the 5- and 7-nm Bulk FinFET Technology Nodes. IEEE Trans. Nucl. Sci. 2023, 70, 381–386. [Google Scholar] [CrossRef]
  40. Pieper, N.J.; Xiong, Y.; Kronenberg, J.B.; Nuñez Sanchez, C.N.; Delaney, M.; Ball, D.R.; Casey, M.; Fung, R.; Bhuva, B.L. Multicell Upsets in Flip-Flops in Advanced FinFET Nodes. IEEE Trans. Nucl. Sci. 2025, 72, 1496–1503. [Google Scholar] [CrossRef]
  41. Zimpeck, A.; Meinhardt, C.; Artola, L.; Reis, R. Mitigating Process Variability and Soft Errors at Circuit-Level for FinFETs, 1st ed.; Springer: Cham, Switzerland, 2021. [Google Scholar]
  42. Azimi, S.; De Sio, C.; Sterpone, L. Analysis of radiation-induced transient errors on 7 nm FinFET technology. Microelectron. Reliab. 2021, 126, 114319. [Google Scholar] [CrossRef]
  43. Evans, R.J.; White, D.B.; Pomerleau, R.; Scougal, A. Control of SSO noise through the use of on-chip decoupling cells. In Proceedings of the 2002 IEEE 11th Topical Meeting on Electrical Performance of Electronic Packaging, Monterey, CA, USA, 21–23 October 2002; pp. 201–204. [Google Scholar] [CrossRef]
  44. Andjelkovic, M.; Babic, M.; Li, Y.; Schrape, O.; Krstic, M.; Kraemer, R. Use of Decoupling Cells for Mitigation of SET Effects in CMOS Combinational Gates. In Proceedings of the 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Bordeaux, France, 9–12 December 2018; pp. 361–364. [Google Scholar] [CrossRef]
  45. Duan, X.; Wang, L.; Lai, J. Effect of charge sharing on the single event transient response of CMOS logic gates. J. Semicond. 2011, 32, 095008. [Google Scholar] [CrossRef]
  46. Wang, F.; Agrawal, V.D. Single Event Upset: An Embedded Tutorial. In Proceedings of the 21st International Conference on VLSI Design (VLSID 2008), Hyderabad, India, 4–8 January 2008; pp. 429–434. [Google Scholar] [CrossRef]
  47. Baumann, R.C. Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Reliab. 2005, 5, 305–316. [Google Scholar] [CrossRef]
  48. Sayil, S. Soft Error Mechanisms, Modeling and Mitigation, 1st ed.; Springer: Cham, Switzerland, 2016. [Google Scholar]
  49. Messenger, G.C. Collection of Charge on Junction Nodes from Ion Tracks. IEEE Trans. Nucl. Sci. 1982, 29, 2024–2031. [Google Scholar] [CrossRef]
  50. Mavis, D.G.; Eaton, P.H. Soft error rate mitigation techniques for modern microcircuits. In Proceedings of the 2002 IEEE International Reliability Physics Symposium: 40th Annual (Cat. No.02CH37320), Dallas, TX, USA, 7–11 April 2002; pp. 216–225. [Google Scholar]
  51. Artola, L.; Gaillardin, M.; Hubert, G.; Raine, M.; Paillet, P. Modeling Single Event Transients in Advanced Devices and ICs. IEEE Trans. Nucl. Sci. 2015, 62, 1528–1539. [Google Scholar] [CrossRef]
  52. de Aguiar, Y.Q.; Wrobel, F.; Autran, J.L.; García Alía, R. Analysis of Layout-Based RHBD Techniques. In Single-Event Effects, from Space to Accelerator Environments: Analysis, Prediction and Hardening by Design; Springer: Cham, Switzerland, 2025; pp. 81–113. [Google Scholar] [CrossRef]
  53. Cannon, E.H.; Cabanas-Holmen, M. Heavy ion and high energy proton-induced single event transients in 90 nm inverter, NAND and NOR gates. IEEE Trans. Nucl. Sci. 2009, 56, 3511–3518. [Google Scholar] [CrossRef]
Figure 1. Cross section of (a) bulk FinFET, (b) SOI FinFET, and (c) bulk FinFET with insulator. Adapted from [26].
Figure 1. Cross section of (a) bulk FinFET, (b) SOI FinFET, and (c) bulk FinFET with insulator. Adapted from [26].
Electronics 14 02937 g001
Figure 2. Workflow.
Figure 2. Workflow.
Electronics 14 02937 g002
Figure 3. Mirror Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Figure 3. Mirror Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Electronics 14 02937 g003
Figure 4. (a) Hybrid Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Figure 4. (a) Hybrid Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Electronics 14 02937 g004
Figure 5. (a) TGA Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Figure 5. (a) TGA Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Electronics 14 02937 g005
Figure 6. (a) TFA Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Figure 6. (a) TFA Full Adder critical nodes at (a) NV operation and (b) NTV operation. All transistors on all circuits adopting the minimum size of 1-fin.
Electronics 14 02937 g006
Figure 7. Total error obseved for all topologies at nominal voltage.
Figure 7. Total error obseved for all topologies at nominal voltage.
Electronics 14 02937 g007
Figure 8. Total error for all topologies at NTV.
Figure 8. Total error for all topologies at NTV.
Electronics 14 02937 g008
Figure 9. Error by input vectors at nominal voltage for (a) Mirror FA, (b) Hybrid FA, (c) TGA FA, and (d) TFA FA.
Figure 9. Error by input vectors at nominal voltage for (a) Mirror FA, (b) Hybrid FA, (c) TGA FA, and (d) TFA FA.
Electronics 14 02937 g009
Figure 10. Error by input vectors at NTV for (a) Mirror FA, (b) Hybrid FA, (c) TGA FA, and (d) TFA FA.
Figure 10. Error by input vectors at NTV for (a) Mirror FA, (b) Hybrid FA, (c) TGA FA, and (d) TFA FA.
Electronics 14 02937 g010
Figure 11. Hit type error rate at nominal voltage.
Figure 11. Hit type error rate at nominal voltage.
Electronics 14 02937 g011
Figure 12. Hit type error rate at NTV.
Figure 12. Hit type error rate at NTV.
Electronics 14 02937 g012
Figure 13. LETth normalized by Mirror FA at Nominal Implementation.
Figure 13. LETth normalized by Mirror FA at Nominal Implementation.
Electronics 14 02937 g013
Table 1. The main parameters of the electrical simulation.
Table 1. The main parameters of the electrical simulation.
ParameterValue
Gate Length (LG)21 nm
Fin Width (WFIN)6.5 nm
Fin Height (HFIN)32 nm
Oxide Thickness (Tox)2.1 nm
Channel Doping 1 × 10 22 m 3
Source/Drain Doping 2 × 10 26 m 3
Threshold VoltageNFET0.35–0.40 V
PFET0.40–0.45 V
Work FunctionNFET4.3720 eV
PFET4.8108 eV
VoltageNominal0.7 V
Near-Threshold0.35 V
Temperature25 °C
Table 2. LETth for all nodes of Mirror FA at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
Table 2. LETth for all nodes of Mirror FA at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
PulseInputNominal
abcdefghijklSumCout
010000----------97-4545
001-------96--6797-45
010----------5259-45
011-----27--47---45-
100----26-----98--45
10127----27--48---45-
1105127---27-48----45-
111-----60--------
101000---4221461489-142040--
001-41--26202720-47525937-
010-41-2626202797-4797-37-
01183-398553-20---71--37
10041---782127962047669737-
101--40-83-20---2020-37
110--395543-20---20--37
111--19-80-19---27483737
Table 3. LETth for all nodes of Hybrid FA considering worst fault propagation at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
Table 3. LETth for all nodes of Hybrid FA considering worst fault propagation at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
PulseInputNominal
abcdefghijCinSumCout
01000051----------4545
00178--------26--45
010-----296259-64--45
011-96262627256647---45-
100-29---30-595465--45
101-2526-2725-4769--45-
11065--4926---46--45-
11173-494949---4631---
101000---392059-383824---
001--8392051-9438--36-
01020-405920-192096--36-
01128-1214--28--39--36
100191240-20-912020--36-
101281312------39--36
110-19-21-85---20--36
111-201919-58-----3636
Table 4. LETth for all nodes of TGA FA considering worst fault propagation at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
Table 4. LETth for all nodes of TGA FA considering worst fault propagation at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
PulseInputNominal
BbAdCinfghSumCout
01000045-48-47-28-2827
00145-48---28--27
010-4746-47--4610028
011-4845--47-4728-
10046---47--4510028
10146----47-5528-
110-54-4647-27-28-
111-54-47--28---
101000-39-----39--
001-39--3895-4021-
0103682-38-37228921-
0113680-3737-22899021
100-523896-3722912192
101-5642-37-24909021
11038-38---8839-21
11138-38-389588522121
Table 5. LETth for all nodes of TFA FA considering worst fault propagation at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
Table 5. LETth for all nodes of TFA FA considering worst fault propagation at nominal voltage ( MeV · cm 2 / mg ). Robust results are indicated by (-) representing the cases where the LETth values are over 100 MeV · cm 2 / mg . Critical values are highlighted in red.
PulseInputNominal
BbACinefSumCout
01000055-554734-2827
00151-47-29--27
010-444847-43-28
011-4345-834727100
10047--41-42-28
10146---844727-
110-53-4729-28-
111-48--27---
101000--------
001---37-4621-
0103997--218514-
0113792-3819--21
100-67409623851493
101-65403823--21
11037-38--52-21
11137-3837-672121
Table 6. Comparison of sensitive nodes, critical nodes, critical input vectors, and critical hit types for each FA topology under nominal and NTV operations.
Table 6. Comparison of sensitive nodes, critical nodes, critical input vectors, and critical hit types for each FA topology under nominal and NTV operations.
FA TopologySensitive Nodes (Error Rate)Critical Nodes (Lowest LETth)Critical VectorsCritical Hit Type
Mirror FA (Nominal)k (13% of errors)g, j (14 MeV·cm2/mg)000n-hit
Mirror FA (NTV)c (11% of errors)g, j (1 MeV·cm2/mg)100n-hit
Hybrid FA (Nominal)c, d (20% of errors)c (8 MeV·cm2/mg)001n-hit
Hybrid FA (NTV)d (12% of errors)almost all nodes (2 MeV·cm2/mg)010, 011, 100, 101n-hit
TGA FA (Nominal)h, Sum (28% of errors)Sum, Cout (22 MeV·cm2/mg)011n-hit
TGA FA (NTV)b (11% of errors)Sum, Cout, g (2 MeV·cm2/mg)011n-hit
TFA FA (Nominal)e, Cout (14% of errors)Sum (14 MeV·cm2/mg)010, 100n-hit, p-hit
TFA FA (NTV)e (14% of errors)Sum (2 MeV·cm2/mg)011n-hit
Table 7. Power consumption for all topologies in all scenarios.
Table 7. Power consumption for all topologies in all scenarios.
TopologyNominalNTV
StandardDCELLTSTS + DCELLStandardDCELLTSTS + DCELL
Mirror17.60  μ W17.65  μ W22.41 μ W22.40  μ W511.00 nW532.27 nW636.89 nW616.99 nW
Hybrid12.47  μ W12.71  μ W17.79  μ W17.82  μ W378.09 nW340.76 nW518.29 nW541.50 nW
TGA11.64  μ W11.64  μ W17.70  μ W19.72  μ W373.36 nW475.37 nW553.92 nW573.66 nW
TFA8.53 μ W14.96  μ W14.89  μ W18.28  μ W312.75 nW428.07 nW499.90 nW525.23 nW
Table 8. Critical delay for all topologies in all scenarios.
Table 8. Critical delay for all topologies in all scenarios.
TopologyNominalNTV
StandardDCELL3-Fin3Fin + DCELLStandardDCELL3-Fin3Fin + DCELL
Mirror17.90 ps21.58 ps18.06 ps19.91 ps112.82 ps112.11 ps126.50 ps132.42 ps
Hybrid43.62 ps47.52 ps14.01 ns14.01 ns186.70 ps215.54 ps14.08 ns14.08 ns
TGA19.45 ps16.29 ps25.86 ps25.86 ps141.22 ps164.42 ps130.09 ps194.31 ps
TFA23.48 ps29.36 ps31.54 ps35.84 ps138.83 ps176.82 ps134.70 ps260.50 ps
Table 9. Application scenarios.
Table 9. Application scenarios.
Application ScenarioPrimary Goal
1. High Reliability, High Performance, Power Not CriticalMax Performance, SET robustness
2. Ultra-Low Power, Moderate Speed, Moderate ReliabilityMin Power, SET robustness
3. Robustness against Variability (requiring sizing)SET robustness (via Sizing)
4. DCELL for Potential Speed ImprovementSET robustness + Performance
Table 10. General overview of recommended topologies.
Table 10. General overview of recommended topologies.
Application ScenarioRecommended Topology (s)Operating VoltageAvoid Topology (s)
1MirrorNominal/NTVHybrid, TFA/TGA
2TFANTVMirror
3TFA, Mirror, TGANominal/NTVHybrid
4TGANominalHybrid, Mirror/TFA
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Oliveira, R.; Schvittz, R.B.; Meinhardt, C. Exploring Circuit-Level Techniques for Soft Error Mitigation in 7 nm FinFET Full Adders. Electronics 2025, 14, 2937. https://doi.org/10.3390/electronics14152937

AMA Style

Oliveira R, Schvittz RB, Meinhardt C. Exploring Circuit-Level Techniques for Soft Error Mitigation in 7 nm FinFET Full Adders. Electronics. 2025; 14(15):2937. https://doi.org/10.3390/electronics14152937

Chicago/Turabian Style

Oliveira, Rafael, Rafael B. Schvittz, and Cristina Meinhardt. 2025. "Exploring Circuit-Level Techniques for Soft Error Mitigation in 7 nm FinFET Full Adders" Electronics 14, no. 15: 2937. https://doi.org/10.3390/electronics14152937

APA Style

Oliveira, R., Schvittz, R. B., & Meinhardt, C. (2025). Exploring Circuit-Level Techniques for Soft Error Mitigation in 7 nm FinFET Full Adders. Electronics, 14(15), 2937. https://doi.org/10.3390/electronics14152937

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop