Next Article in Journal
Recent Progress in Designing Nanomaterial Biohybrids for Artificial Photosynthesis
Previous Article in Journal
Controlled Synthesis of Cs2NaYF6: Tb Nanoparticles for High-Resolution X-Ray Imaging and Molecular Detection
Previous Article in Special Issue
Electrodeposition of Nanostructured Metals on n-Silicon and Insights into Rhodium Deposition
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Emerging Copper-to-Copper Bonding Techniques: Enabling High-Density Interconnects for Heterogeneous Integration

1
Department of Electrical Engineering, City University of Hong Kong, Kowloon, Hong Kong SAR, China
2
Hubei Jiu Feng Shan Laboratory, Wuhan 430074, China
3
Yangtze Memory Technologies Co., Ltd., East Lake High-Tech Development Zone, Wuhan 430078, China
*
Authors to whom correspondence should be addressed.
Nanomaterials 2025, 15(10), 729; https://doi.org/10.3390/nano15100729
Submission received: 25 February 2025 / Revised: 25 April 2025 / Accepted: 9 May 2025 / Published: 12 May 2025
(This article belongs to the Special Issue Heterogeneous Integration Technology for More Moore)

Abstract

As CMOS technology continues to downsize to the nanometer range, the exponential growth predicted by Moore’s Law has been significantly decelerated. Doubling chip density in the two-dimensional domain will no longer be feasible without further device downsizing. Meanwhile, emerging new device technologies, which may be incompatible with the mainstream CMOS technology, offer potential performance enhancements for system integration and could be options for a More-than-Moore system. Additionally, the explosive growth of artificial intelligence (AI) demands ever-high computing power and energy-efficient computing platforms. Heterogeneous multi-chip integration, which combines diverse components or a larger number of functional blocks with different process technologies and materials into compact 3D systems, has emerged as a critical pathway to overcome the performance limitations of monolithic integrated circuits (ICs), such as limited process/material options, low yield, and multifunctional design complexity. Furthermore, it sustains Moore’s Law progression for a further smaller footprint and higher integration density, and it has become pivotal for “More-than-Moore” strategies in the next CMOS technology revolution. This approach is also crucial for sustaining computational advancements with low-power dissipation and low-latency interconnects in the coming decades. The key techniques for heterogeneous wafer-to-wafer bonding involve both copper-to-copper (Cu-Cu) and dielectric-to-dielectric bonding. This review provides a comprehensive comparison of recent advancements in Cu-Cu bonding techniques. Major issues, such as plasma treatment to activate bonding surfaces, passivation to suppress oxidation, Cu geometry, and microstructure optimization to enhance interface diffusion and regrowth, and the use of polymers as dielectrics to mitigate contamination and wafer warpage, as well as pitch size scaling, are discussed in detail.

1. Introduction

(a)
Heterogeneous Integration as an Option for More Moore and More Than Moore
There is a general consensus that the next “more Moore” advancement should focus on 3D stacking and the heterogeneous integration of multiple “chiplets” within a single package [1,2,3,4]. The concept of vertical stacking dates back to the 1960s with the introduction of flip-chip technology, initially used to connect multi-chip modules in mainframe computers [5]. Today, this technology is widely applied across various levels of the electronic system hierarchy, from the package level to the system level, as exemplified by the system-on-chip (SoC) devices used in mobile phones [1,2,3,4].
At the device level, flash memory technology has made significant progress. Three-dimensional stacking has enabled tera-scale memory, with the latest 3D NAND flash reaching over 230 layers [4]. However, adding more layers seems to have a diminishing effect on chip density. For logic circuits, the Complementary Field-Effect Transistor (CFET), which stacks an nMOS on top of a pMOS, has been proposed as an emerging option to continue logic scaling into the next decade. This technique can save up to 50% of the footprint of a CMOS cell while improving the ON–OFF current ratio [4].
At the system level, flip-chip technology involves depositing metal bumps on IC bond pads at the wafer level during back-end-of-line (BEOL) processing. Unlike wire bonding, where chips are connected using fine wires, flip-chip technology flips the chips over a substrate, aligning the bumps on the chips to corresponding bond pads on the substrate. This method is commonly used in high-performance graphics cards and is often referred to as 2.5D technology [5].
The evolution of this technology has led to the development of chip-level or monolithic 3D heterogeneous integration technology. Figure 1 showcases key milestones in high-density interconnect technology innovation. Beginning with early wire bonding in the 1970s, which featured a relatively low bonding density of roughly a dozen bonds per square millimeter, advancements in interconnect technology have significantly increased density over time. The introduction of flip-chip technology led to an order-of-magnitude improvement in bonding density [6]. More recently, sophisticated hybrid bonding techniques, including wafer-to-wafer (W2W) and chip-to-wafer (C2W) hybrid bonding [7,8,9,10,11,12,13,14], have emerged to meet the growing demand for compact device architectures, high-speed inter-module communication, and enhanced interconnect density as device scaling continues. For instance, marking an industry milestone in 2016, Sony successfully implemented hybrid bonding for mass-produced image sensors, establishing chip-stacking architecture with pixel arrays vertically interconnected to logic circuits through micrometer-pitched copper interconnects [9,10]. The technology was subsequently scaled by leading semiconductor manufacturers such as TSMC and Samsung for 3D IC packaging applications, demonstrating breakthrough capabilities in interconnect miniaturization (pitch size <10 μm) and high-density integration (~10,000 interconnects/mm2) through optimized hybrid bonding processes [11,14]. Over the past two years, continuous refinements in hybrid bonding have pushed beyond conventional scaling limits and enabled unprecedented 3D integration densities. Cutting-edge research by IMEC realized 400 nm pitch direct interconnect bonding at the wafer scale in 2024, achieved through iterative process optimizations in overlay alignment and surface planarization [7]. The pitch size reduction process of hybrid bonding starts from 10 μm of aluminum interconnect, passes through micrometer breakthrough of copper interconnect, and, finally, moves forward to the nanometer level. Its development is not only dependent on material and process innovation (such as CMP, plasma cutting) but is also directly driven by the needs of high-performance computing, such as AI and high bandwidth memories. In the future, this technology will play an essential role in 3D packaging and system-level integration. With the accumulation of technology over the last five decades, 3D stacking technology has become quite mature. Instead of stacking different dies to create 3D ICs, monolithic 3D ICs start with a base wafer, onto which additional layers of semiconductor materials, metal layers, and active and passive circuitry are integrated using conventional IC fabrication processes. This approach allows for more efficient and compact designs, enhancing performance, functionality, and economics. Heterogeneous integration (HI) has been recognized as the key option for “more Moore” and post-Moore semiconductor technology [15,16].
Three-dimensional heterogeneous integration technology also facilitates the integration of non-digital circuits for system integration. On top of silicon CMOS technology, mixed-signal integrated circuits (ICs) incorporate sensors and analog/RF functionalities through silicon vias (TSVs) [3,16,17,18]. Jeong et al. detailed the implementation of heterogeneous integration of RF InGaAs high-electron-mobility transistors (HEMTs) on a CMOS substrate [17,18]. III–V compound semiconductors are renowned for their high-frequency application potential, while silicon CMOS excels in high integrated density. The synergistic monolithic integration of III–V and Si electronics offers significant advantages, prompting ongoing efforts to achieve this integration. Accordingly, Jeong et al. [17] achieved a high unity current gain cutoff frequency of 448 GHz and a unity power gain cutoff frequency of 742 GHz with their reported technology. Thus, heterogeneous integration would result in a new paradigm through the vertical integration of multi-material systems (Si/III-V/2D materials), multi-process node components (e.g., 5 nm CMOS + 28 nm analog), and multifunctional modules (logic, memory, RF, photonics) via advanced system-in-package (SiP) techniques, such as silicon interposers, wafer bonding, metal interconnection, and micro-bump arrays [7,8,9,10,11,12,13,14,15]. This novel technology overcomes the limitations of conventional system-on-chip (SoC) architectures by achieving functional heterogeneity, bandwidth enhancement, energy efficiency, scalability, and reconfigurability. TSMC’s CoWoS and Intel’s Foveros are good examples. In particular, the recent Foveros-based Intel CPU, Lakefield, used a novel method for connecting various chips on a substrate [19]. This technology enables the interconnection of CPU and memory chips through silicon vias, bridges, silicon interposers, or wiring layers, and then packages them into a single chip. This technology has been considered the primary technology for achieving trillion-level integration in the coming decades due to its flexibility, scalability, and integration efficiency. The “near-memory computing” architecture, in particular, boosts data processing speed while reducing power dissipation, which is crucial for AI platforms.
The latest report on the NVIDIA Grace Hopper graphic accelerator highlights its extremely high performance and energy efficiency [20] while catalyzing emerging fields, like high-density and high-speed silicon photonics integrated circuits with 1.6 Tbps optical I/O and quantum computing with cryogenic 3D interconnects. By shifting the design paradigm from single-process optimization to system-level power–performance–area (PPA) co-optimization, HI technology is now restructuring semiconductor ecosystems.
Current research efforts in this area include atomic-scale chip/wafer stacked bonding interfaces, thermal–mechanical reliability modeling, and AI-driven heterogeneous design automation frameworks [1,2]. These efforts aim to address the remaining challenges in chip/wafer-level warpage control and cross-domain signal integrity. The current wafer-to-wafer bonding technology features an interconnect Cu pitch size of around 1 µm. For AI applications, it is essential to push the Cu interconnect pitch to 400 nm and below [21]. Overlay control could be a challenging issue for the wafer bonding process, with suggestions that the overlay should be smaller than 100 nm to achieve a reasonable yield in mass production.
(b)
Copper-based Interconnect Technology for Heterogeneous Integration
To achieve 3D stacking, a variety of 3D interconnect technologies have been developed, with interconnect pitches ranging from millimeters to less than 100 nm [5,22,23,24,25]. In older flip-chip packaging or face-to-face (F2F) integration technologies, solder bump and micro-bump (C4 bumps) interconnects were used. The pitch size of C4 bumps was usually greater than 50 μm, which is no longer suitable for the high interconnect density in modern computing architectures. A combination of copper pillars and solder caps in C2 bumps, which can further reduce the pitch width, could be a viable option.
Wafer-to-wafer hybrid bonding, particularly for AI SoC platforms, requires ultrafine pitch copper interconnects (<10 μm) with minimal interconnect parasitics, presenting significant challenges in various aspects of fabrication technology. Typical requirements include an interconnect density of over 106 contacts/mm2, a contact resistance of 2–3 μΩ·cm, and a signal bandwidth of over 1 Tb/s/mm2 [5,21]. Conventional solder processes are no longer suitable; copper-based interconnects must be used. Copper is an ideal bonding material due to its high electrical and thermal conductivity, electromigration resistance, mechanical strength, and cost-effectiveness. Copper has a superior electrical conductivity of 5.96 × 107 S/m, about five times larger than Pb-free solder, and a thermal conductivity of 401 W/m·K, which is eight times that of conventional Sn-Ag-Cu alloys [5]. However, the presence of copper pillars imposes significant requirements and challenges for assembly processes, particularly in terms of the submicron alignment precision required for ultrafine pitch interconnects.
The easiest way to achieve Cu-Cu bonding is thermal compression bonding (TCB). IBM demonstrated a 4 μm Cu bonded interconnect design and structure in 2006 [1,2]. Although the original copper-to-copper thermo-compression bonding has shown improvements in electrical performance and reliability compared to C2 bumps, the protruding copper structures still exhibit similar drawbacks as those found in conventional Cu-Cu bonding. Metal–dielectrics hybrid bonding was then proposed. Hybrid bonding technology, also called direct bond interconnect (DBI), was originally conceptualized in the mid-1980s and subsequently pioneered and industrialized in the early 2000s [1,2]. This technology can achieve ultrafine pitch and high-density interconnect integration through bonding metal pads and dielectric surfaces simultaneously at submicron alignment accuracy (<0.5 μm), revolutionizing chip packaging architectures and emerging as the critical enabling technology for heterogeneous integration and the chiplet-based systems in the post-Moore era.
The precise control of the surface topology, bonding nature, and surface morphology of dielectric materials, bonding mechanisms, and pre-bond and post-bond treatments is crucial for overlay control and interconnect pitch scaling. Additionally, dielectric breakdown is a critical factor affecting the yield of bonded wafers. Research indicates that unequally designed Cu pads exhibit higher dielectric breakdown strength compared to equally designed pads at small interconnect pitches. To achieve the necessary surface roughness and copper film uniformity across the wafer for producing Cu pads at the hundred-nanometer scale, a chemical mechanical polishing (CMP) step is required. Advances in surface plasma activation, passivation, and copper structure optimization show significant potential for achieving high-density, efficient heterogeneous integration. The integration of Cu/polymer hybrid bonding further enhances material compatibility and reduces process complexity.
The interfacial integrity and quality of Cu-Cu bonding are significantly influenced by surface planarization. Conventional processes typically require processing temperatures in the range of 300 to 400 °C under pressure to achieve sufficient atomic diffusion across the bonding interface. However, such a thermal budget exceeds the limitations of most back-end-of-line (BEOL) processes. Advanced pretreatment methods, like plasma activation or self-assembled monolayer passivation, have been developed to further reduce activation energy and achieve low-temperature processing. Additionally, hybrid bonding demands extremely low surface roughness to ensure a smooth and flat surface, necessitating the use of specialized CMP tools and abrasive slurries, which further increase the overall difficulty and cost. This paper systematically reviews various methods aimed at lowering process requirements and improving the overall quality of bumpless Cu-Cu bonding. These insights provide valuable guidance for the development of bumpless Cu-Cu bonding technology and heterogeneous integration.

2. Surface Plasma Activation

Surface plasma activation is a surface treatment technique used to improve surface adhesion. It relies on the strong adhesion force created when two atomically clean solid surfaces come into contact. These clean surfaces are usually achieved by dry processes, such as ion (Ar) beam bombardment or hydrogen radical irradiation [26,27]. Surface Activated Bonding (SAB) can be traced back to the direct bond interconnect (DBI) technology, initially proposed by Tong et al. [28]. This technique was initially proposed to (not limited to) the semiconductor industry for low-temperature and room-temperature bonding. The process mainly includes surface cleaning, planarization, surface activation (etching), terminating, and bonding under vacuum conditions. The wafers were left in a controlled environment for a specific period of time (typically hours to days) to allow the bonding interface to expand and merge spontaneously, and the effect of storage time and storage solution on bonding energy was investigated.
Figure 2a illustrates the bonding energy growth over storage time for SiO2-SiO2 bonding under various surface treatments, as reported by Tong et al. [28]. Their findings indicate that immersing SiO2 in NH4OH significantly enhances bonding energy. The bonding strength follows an exponential-saturation function, i.e., E B = a ( 1 e b t ) , where a represents the maximum bonding strength. For Tong et al.’s data, a is 1.029 J/m2. A similar trend was observed in Cu-Cu bonding, as demonstrated by Lea Di Cioccio et al. [29] (see Figure 2b). Our model fitting estimates the maximum bonding strength at 2.275 J/m2. The initial weak bonding strength in Cu-Cu bonding is attributed to surface oxides, such as Cu(OH)2 or Cu2O. The subsequent increase in bond strength results from the dissolution of these oxides, a process governed by the oxygen diffusion rate across the copper film, which conforms to an exponential saturation growth function. For SiO2-SiO2 bonding, the observed bonding strength improvement is likely due to the release of hydroxyl groups adsorbed onto the SiO2 surfaces, facilitating stronger adhesion over time.
The possibility of surface-activated bonding for ultrafine pitch Cu interconnection has also been studied over the years [6,26]. SAB, based on the flip-chip bonder with 10 μm bonding pitch, was realized in 2006 [6]. In that study, the alignment error was also kept very small, which was less than ±1 μm. Figure 3 illustrates the measured electrode resistance as a function of electrode size. As the contact size or electrode size decreases, non-ideal effects—including contact potential, surface scattering, and surface oxidation—become increasingly pronounced. Consequently, the electrode resistance deviates from the linear curve dictated by Ohm’s law.
Utsumi later introduced silicon ultrathin films on SiO2 surfaces before SAB to facilitate dielectric bonding [30]. Ar plasma was used to activate the surfaces, resulting in a bonding strength of 25 MPa in tensile testing [30]. Figure 4 shows the SEM cross-sectional image of the bonding interface containing the Si layer formed by deposition. The research provides a novel low-temperature method for dielectric bonding as well as Cu/dielectric hybrid bonding in heterogeneous integration applications. A conventional hybrid bonding flow is employed, as shown in Figure 5. The combined optimization includes extra Si atoms in irradiation, which increases oxygen vacancies on the SiO2 surface and promotes OH absorption when bonding. Meanwhile, the prebonding attach–detach process makes hydroxylation more effective and creates more OH sites on the SiO2 surface. Soon after, He et al. combined Si-containing Ar beam irradiation and a prebonding attach–detach procedure, achieving high-quality and 200 °C hybrid bonding [31] (Figure 6a). The results after hydrophilic bonding are displayed in Figure 6b. Renaud et al. further realized SAB hybrid bonding at room temperature with a pitch width of only 5 μm and a thermal budget as low as 150 °C (annealing at 150 °C) [32]. The key to sufficiently high adherence is the precise control of Cu recess depth during surface activation etching, since the thermal expansion of Cu at low-temperature annealing will not be sufficient to fill the gaps [32]. The bonding results are shown in Figure 7. With NH3 plasma surface treatment, as illustrated in the upper part of Figure 8, Jeon and Hong demonstrated a superior efficacy in improving surface smoothness and thus the bonding quality [17]. Comparative analysis of scanning acoustic microscopy (SAM) data of the Cu surface is shown in the lower part of Figure 8. Surface plasma activation is virtually indispensable in low-temperature and high-quality fine-pitch hybrid bonding. However, plasma activation requires an ultra-high vacuum environment and a specific CMP to create an extremely low roughness surface, which expands the production cycle and adds significantly to the cost.

3. Passivation

If the substrate is exposed to the atmospheric environment before bonding, an oxide layer on the Cu surface will inevitably form, which is one of the significant problems limiting the bonding quality and thermal budget. Passivation has been widely used in Cu-Cu bonding to prevent the oxidation of Cu by inhibiting the interaction between the surface and oxygen. Additionally, when a rare metal with excellent electrical and physical properties is used as a passivation material, it could enhance the conductivity of the interconnect and improve its mechanical stability. The alkane-thiol self-assembled monolayer (SAM) passivation technique has been explored for Cu-Cu bonding [33,34]. This method is non-corrosive and eliminates the need for high vacuum environments. The Cu layer exhibits significant interdiffusion and grain growth, as shown in Figure 9, providing a promising solution for low-temperature bonding [33,34]. However, thermal or mechanical desorption is required before bonding, and SAM passivation layers may leave potential surface residues after desorption.
Passivation with metal layers was also attempted. It was found that Pd, Au [35,36], and Ag [37] can be used as the passivation layer for Cu-Cu bonding. Among the materials for the passivation layer, those with larger lattice constants and favorable diffusion of copper atoms, as well as thinner passivation layers, tend to have higher strength after bonding. In addition, the resistivity of the passivation metal is also a factor worth considering. Silver clusters as a passivation layer have also been studied [37], demonstrating better bonding quality. Silver clusters are formed by a silver particle layer prepared by ultra-low energy sputtering (~0.01 W/cm2), which aggregate into silver clusters during bonding. During aggregation, space is created between cluster gaps, allowing two Cu layers to contact directly (as shown in Figure 10), resulting in a higher bonding quality than previous bonding methods that rely on the diffusion of Cu atoms through the passivation layer. This method achieved notable results in pull and shear tests, with bonding strengths of 3.77 MPa and 21.95 MPa, respectively, at a low bonding temperature of 150 °C. Figure 11 demonstrates the comparison of the bonding strength of Ag, Au, and Pd as passivation layers. Park et al. recently reported an alternative compound passivation material [38,39]. They used Cu4N as the passivation layer. This material has the advantage of being non-reactive with air at room temperature while leaving the substrate as N2 when bonding at 200–300 °C. This passivation technique typically consists of two plasma treatment steps: after argon plasma activation to remove native oxide and contaminants, the N2 plasma treatment is carried out to generate a passivation layer by the reaction of N and Cu. The more detailed steps and schematic of microscale surface reactions are shown in Figure 12. Hahn et al. performed Cu/SiCN hybrid bonding with N2 plasma surface treatment [39]. This technique reduces the Cu pad pitches down to 0.4 μm. It was noted that conventional plasma treatments could lead to Cu atoms being knocked out from the Cu surface, which is gradually becoming non-negligible in submicron pitch interconnects, as copper re-sputtering reduces the distances between Cu pads and even creates conductive pathways on the dielectric surface. At the same time, this can contaminate the bonding environment and reduce the chamber lifetime. To address the issue, reactive molecular dynamics (MD) simulations were carried out in the research to determine the parameters of plasma treatment, and the optimized plasma treatment can meet the contamination-free standard [39]. TEM images of the SiCN and Cu bonded interface are displayed in Figure 13.

4. Copper Geometry Optimization

In traditional TCB bonding, high pressure is applied to intensify the interdiffusion of Cu atoms and promote the breakdown of surface oxide, thereby achieving a more direct and robust bonding interface [40]. Optimizing the geometry of the bonding interface facilitates a more rational distribution of bonding pressure and allows for more effective deformation. Chou et al. investigated a pillar–concave Cu structure (as shown in Figure 14) that is able to perform high-quality bonding with a low thermal budget (150 °C) and high roughness of the bonding interface [41]. The mechanism behind this is that Cu pillars deform plastically and generate lattice dislocations inside the material when in contact with the angled concave surface under strong external pressure (>500 MPa). During deformation, sliding and friction of dislocated surfaces generate internal energy, which promotes the interdiffusion and regrowth of Cu atoms [42]. Another high-throughput bonding scheme with the structure of Cu pads protruding over the dielectric surface was proposed recently [43]. The method has no dielectric bonding process and only consists of two steps, which are Cu tacking and annealing. Achieving high alignment precision is critical in this streamlined bonding scheme because the quality of the substrate depends on the bonding strength of Cu pads. The SEM image of the Cu bonding interface presented in Figure 15 demonstrates excellent bonding quality achieved with low acceptable misalignment. High-pressure bonding with an optimized structure tends to have the advantages of short process flow, low operation temperature, low cost (CMP-free), and high throughput, but relatively high bonding pressure (500 MPa and 50 MPa, respectively, in the literature above) limits the scope of applications to some extent.
It is worth mentioning that, in addition to high-pressure bonding, the method of Cu topography control has also been widely used in fine-pitch hybrid bonding. IMEC introduced a Cu/SiCN bonding technology for direct wafer stacking that achieves interconnect pitches as small as 1 μm. In this method, one bonding wafer features Cu pillars that protrude slightly above the surface, while the corresponding bonding wafer has larger-area Cu pads recessed slightly below the surface, as shown in Figure 16. This complementary design effectively addresses the impact of overlay misalignments during the bonding process [44]. Sony conducted research on the wet process, the electrical chemical deposition (ECD) process, and the CMP process to stably fabricate slightly protruding Cu pillar structures (Figure 17). These structures are suitable for use in face-to-face and face-to-back hybrid bonding for 3D stacking technologies [45]. Building upon that approach, Sony introduced another Cu-Cu wiring technology that provides greater flexibility in circuit design. This innovation leverages Sony’s advancements in the CMP process, which enables multiple Cu patterns to maintain planar surfaces and ensures uniform contact and reliable bonding between layers in 3D stacking. Cu-Cu wiring can replace a part of Cu wires in the traditional internal interconnect layer and has lower electrical resistance due to the increased volume of Cu from two Cu pads bonding together, which is essential for high-speed signal transmission and efficient power delivery [46]. Figure 18 illustrates a comparison of Cu-Cu wiring and conventional Cu pad structures. In a further step, all-Cu 3D (AC3D) interconnect was introduced [47]. This process reduces the area of the insulating layer around Cu electrodes (see Figure 19). Such Cu solid layers form the ground plane, supply plane, and paired layers and help dissipate heat when connected to vias. Although some Cu polishing or electrode configuration issues remain unsolved, AC3D is very promising for the future of 3D integration, owing to its simple Cu-Cu bonding process.
In the case of fine-pitch bonding with unequal pad sizes on bonding wafers, the IMEC group observed a phenomenon called “Bulge-Out” [48]. As depicted in Figure 20a, when small pads that are excessively recessed due to CMP issues are bonded to large pads, Cu protrudes from the bottom pad and extends into the cavity of the upper pad. This spontaneous protrusion phenomenon is very conducive to full contact between Cu pads, and Figure 20b shows the excellent bonding quality achieved with the existence of “Bulge-Out” after the correct CMP process. This mechanism was attributed to the Cu surface diffusion, and it was estimated that the best bonding result could be achieved when the ratio of the two pads’ area is 2:1. This discovery greatly relaxes the CMP process and holds significant potential for extending hybrid bonding to submicron pitch.

5. Copper Microstructure Optimization

Copper film, as-deposited, is in polycrystalline form. Even with thermal treatment, the grain size is still not large enough to extend continuously from one side to the other of the interconnects. A comparison between pads of optimized and untreated orientation after bonding was given by Liu et al. (see Figure 21) [49]. This discontinuity causes a reduction in the electrical conductivity of the interconnects and also reduces their mechanical stability, increasing the risk of cracking or delamination. Another advantage of optimizing the microstructure of copper is that, within temperatures of 120 to 250 °C, which is an acceptable temperature range for heterogeneous integrated devices in BEOL processes, the (111)-oriented nanotwinned copper (nt-Cu) exhibits the fastest surface diffusivity and the lowest oxidation rate [50]. The bonding mechanism of (111)-oriented nt-Cu is surface creep, which is atomic diffusion under a stress gradient under thermal compression. A kinetic model was developed by Chen et al. [51]. Nanotwinned Cu pads were then fabricated by dc electroplating on a Cu seed layer with a (111) preferred orientation. Figure 22 illustrates the EBSD orientation image of the Cu pads [52]. It was further found that direct bonding can be completed within 10 s by using (111)-oriented nt-Cu bumps in a N2 bonding environment [53]. This instant bonding has an advantage in mass production, but there still exists a noticeable interface between bonding pads, even if the bonding time is extended to 60 s (see Figure 23). Low-temperature (200 °C) and low-pressure (1.06 MPa) wafer-to-wafer hybrid bonding using (111)-oriented nt-Cu, with the lowest contact resistance (1.2 × 10⁻9 Ω·cm2) among the bonding methods, was reported [54]. The use of (111) surface nt-Cu not only leads to a very low resistance but also, due to the high hardness of nt-Cu, the height and shape of copper can be more easily controlled by CMP, further improving the quality of hybrid bonding [54].
Apart from nt-Cu, the potential of nanocrystalline copper bonding was also investigated. It was found that copper fabricated through electrochemical deposition exhibits a self-annealing phenomenon; that is, copper grains grow larger at room temperature from being deposited up to a certain size [55,56]. The duration of this grain growth varies depending on the electrolyte used during deposition. To further explore this, a comparative experiment simulating bonding was conducted. A second layer of copper was deposited onto freshly deposited copper and where grain growth had ceased, using the same process. These samples were then annealed at 200 °C for one hour to compare the ability of grain growth across the interface. The results demonstrated that freshly deposited copper has a higher interfacial quality [56]. A schematic diagram and SEM images illustrating these findings are shown in Figure 24. A process allowing the metastable microstructure to be maintained for 48 h, or even 120 h with additional post-processing, was proposed recently. It makes the process more flexible [56]. Nanocrystalline Cu is typically fabricated with additive overdosing and will introduce elevated impurity co-deposition. This problem may lead to void formation, incomplete grain growth, and reliability issues like electromigration. A double-layer structure is developed, integrating a coarse-grained (CG) layer beneath the nanocrystalline layer. The CG layer functions to facilitate impurity diffusion from the nanocrystalline layer and thus suppress microstructural defects and impurity aggregation [57]. Figure 25 shows a SIM image of the double-layer structure after fabrication.

6. Cu/Dielectric Hybrid Bonding

The advantage of hybrid bonding technology lies in its ability to achieve high-density heterogeneous chip stacking, meeting the demand for higher power, performance, and cost-effectiveness [58,59]. As the application domain expands, the future may see more advanced implementations of hybrid bonding, such as stacking logic with logic and memory with logic. This will drive the further development of 3D system integration design, requiring the next generation of bonding devices to meet more stringent standards in terms of overlap accuracy, thus achieving higher production efficiency and reliability.
Cu/oxide and Cu/polymer hybrid bonding technology, as the core enabling technology of 3D heterogeneous integration in the post-Moore era, has experienced rapid development from process optimization to large-scale production in recent years. Cu/SiO2 hybrid bonding technology can achieve ultra-high density (>1 × 106/mm2), submicron alignment (<0.5 μm), and excellent thermo-mechanical reliability through the covalent bonding of copper interconnects to silica media, which has become the core solution for 3D heterogeneous integration. Its core advantages are low interface resistance, high temperature stability, and high compatibility with CMOS technology, which support the performance leap of high-performance computing (HPC), high bandwidth memory (HBM), and advanced packaging. Up to now, the world’s leading semiconductor companies and research institutions have made a series of breakthroughs in three directions: interconnection density enhancement, thermo-mechanical reliability enhancement, and low-temperature process development. TSMC took the lead in realizing the heterogeneous integration of advanced node logic Chips and CoWoS (Chip-on-Wafer-on-Substrate) packaging in its SoIC (System on Integrated Chips) platform [11]. By optimizing the morphology of copper pillars and the hybrid bonding process, the interconnect spacing is reduced to below 1 μm, the bond thickness is limited to less than 10 μm, which supports a high bandwidth memory for HPC applications.
Copper/polymer hybrid bonding has emerged as a promising interconnect solution in advanced packaging, leveraging the polymer’s intrinsic low dielectric constant and robust copper diffusion barrier properties to simultaneously mitigate RC signal attenuation and enhance interconnect reliability in high-density configurations. Compared to conventional Cu/SiO2 hybrid bonding, Cu/polymer architectures demonstrate superior compatibility with particle-contaminated processes due to the polymer’s inherent mechanical compliance, which locally deforms to encapsulate contaminants rather than inducing bonding defects [60]. Furthermore, the polymer’s elevated coefficient of thermal expansion (CTE) facilitates void-free interfaces through enhanced conformal filling during thermal processing, while its lower elastic modulus can mitigate CTE mismatch and wafer warpage. However, Cu/polymer surfaces face critical challenges in achieving planarization during the chemical mechanical polishing (CMP) process, primarily due to mechanical property disparities, such as modulus mismatch and the polymer’s rheological behavior under shear stress. A novel photosensitive polyimide (PI) and thermal compression bonding process was proposed [61]. This specialized PI is compatible with typical CMP processes and does not require any special pretreatment in the pre-bond process. The new PI-based technique has demonstrated good reliability, with no Cu diffusion observed during reliability tests, as shown in Figure 26.
Due to the high acid resistance of polymers, wet pretreatment is often used to remove oxide from the surface of copper pads. A study examined the effect of different acidic aqueous treatments on bonding quality and concluded that pretreatment with citric or ascorbic acid can significantly improve the bonding yield of copper pads [62]. To further enhance the coplanarity of copper/polymer surfaces, Jang et al. proposed a flying cut method to replace the CMP process, coupled with a surface cleaning process before bonding to remove the generated residues [63]. A perfect polyimide bonding surface was obtained after bonding, but seams were observed on the Cu-Cu interface, which may be attributed to the softer mechanical properties of the polymer and thus better resistance to contamination. Optimization of the cleaning procedure after a flying cut or Cu surface activation may permit a better bonding interface and broader application of this technology [63].
It is noted that the present Cu/polymer hybrid bonding technology is limited by the nature of the polymer material and process, such as a relatively high glass transition temperature (Tg ~ 250 °C), high interfacial resistance (2–5 Ω·μm2), and hygroscopic expansion issue, which would lead to challenges in long-term reliability. At present, it is mainly applied to 5G RF modules, wearable sensors, silicon optical engines, and other medium- and low-power scenarios. With the development and evolution of hybrid technology, researchers and engineers can choose different technology paths and innovative technologies for scene adaptation according to different application scenarios and future product requirements. Cu/oxide will continue to evolve to submicron interconnects (<0.5 μm spacing) and a low-temperature activation process (plasma-assisted bonding ≤ 250 °C) to support AI accelerators and memory and computing integrated architectures. On the other hand, Cu/polymer has gradually penetrated into emerging fields, such as heterogeneous integrated photonic (CPO) and bio-MEMS through the development of low moisture absorption nanocomposites and submicron alignment technology (<0.3 μm) [55]. Cu/oxide dominates the 3D integration of high-power chips, while Cu/polymer covers low-power consumer electronics and specialty packaging. The two-hybrid bonding interface techniques achieve system-level performance and cost optimization through heterogeneous layering by combination with low-level oxide bonding and upper polymer interconnect, which drives the diversification of heterogeneous integration technologies in the post-Moore era.

7. Conclusions

In summary, while Moore’s Law is expected to persist for at least another decade in terms of chip density and the number of transistors, the technology driving this progress will undergo significant transformations. Traditional gate length scaling has reached its limits, and future advancements will heavily depend on vertical stacking and heterogeneous integration. Technologies such as CFET and 3D monolithic stacking of various functional modules, particularly memory modules, will be pivotal. These advancements hold the potential to achieve trillion-level integration in the coming decades, driven by their flexibility, scalability, and integration efficiency. Additionally, 3D stacking technology facilitates the integration of non-digital circuits, incorporating sensors and analog/RF functionalities through silicon vias (TSVs).
This work highlighted recent advancements in the copper-to-copper (Cu-Cu) bonding technology for system-on-chip (SoC), wafer-to-wafer, and heterogeneous integration applications. Particular attention was given to innovative processes, such as surface plasma activation, passivation, and copper structure optimization. Additionally, the integration of Cu/polymer hybrid bonding enhances material compatibility and reduces process complexity. Current wafer-to-wafer bonding technology, with an interconnect Cu pitch size of around 1 µm, needs to be reduced to 400 nm and below for trillion-level integration and AI applications. With this connection, we also addressed the scaling and overlay control issues associated with Cu-Cu bonding. The impact of these advancements is profound, as they address critical bottlenecks in interconnect scaling and pave the way for high-density, efficient heterogeneous integration, and SoC applications. Table 1 lists the methods of bonding discussed in this paper and provides key factors, such as pitch width, bonding conditions, and bonding quality achieved.
As previously mentioned, scaling down the bump dimension to the submicron range significantly increases Cu-based interconnect and contact resistance. To address this challenge, topological semimetals, such as CoSi and CoPt, have been investigated as potential replacements for chip-level interconnects due to their surface-dominated transport properties [64,65,66]. Additionally, system-level NbAs interconnects have been explored [64]; however, the application of topological semimetals for chip-to-wafer (C2W) and wafer-to-wafer (W2W) bonding—where material interactions play a crucial role—remains largely unexplored. Given their superior electron mobility at the nanoscale compared to copper, topological semimetals hold promise for C2W and W2W applications as contact sizes continue to shrink to deep submicron or nanoscale dimensions. Looking further ahead, signal transmission at extremely small interconnect scales may shift toward spin wave propagation [67], potentially rendering conventional Cu-based C2W and W2W interconnects obsolete.

Author Contributions

W.B. developed the theories and wrote this article. J.Z. developed the theories and wrote and reviewed the manuscript. H.W. developed the theories and wrote and reviewed the manuscript. J.L. provided funding support, developed concepts, and proofread this article. W.L. developed the theories and wrote the manuscript. All authors have read and agreed to the published version of the manuscript.

Funding

This work is supported by project#9239120 of the City University of Hong Kong, Hong Kong SAR, China, which is funded by Hubei JFS Lab, Wuhan, China.

Data Availability Statement

No new data were created or analyzed in this study.

Conflicts of Interest

Author Weidong Li was employed by the company Yangtze Memory Technologies Co., Ltd. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Lau, J.H. Heterogeneous Integrations; Springer: Berlin/Heidelberg, Germany, 2019. [Google Scholar] [CrossRef]
  2. Lau, J.H. Chiplet Design and Heterogeneous Integration Packaging; Springer: Singapore, 2023. [Google Scholar] [CrossRef]
  3. Wong, H.; Zhang, J.; Liu, J. Contacts at the Nanoscale and for Nanomaterials. Nanomaterials 2024, 14, 386. [Google Scholar] [CrossRef]
  4. Wong, H.; Zhang, J.; Liu, J. Quest for more Moore at the end of Device Downsizing. J. Circuits Syst. Comput. 2024. [Google Scholar] [CrossRef]
  5. Lau, J.H. Recent Advances and New Trends in Flip Chip Technology. J. Electron. Packag. 2016, 138, 030802. [Google Scholar] [CrossRef]
  6. Shigetou, A.; Itoh, T.; Matsuo, M.; Hayasaka, N.; Okumura, K.; Suga, T. Bumpless interconnect through ultrafine Cu electrodes by means of surface-activated bonding (SAB) method. IEEE Trans. Adv. Packag. 2006, 29, 218–226. [Google Scholar] [CrossRef]
  7. Chew, S.A.; De Vos, J.; Beyne, E. Wafer-to-wafer hybrid bonding at 400-nm interconnect pitch. Nat. Rev. Electr. Eng. 2024, 1, 71–72. [Google Scholar] [CrossRef]
  8. Lin, Y.; Bex, P.; Kennes, K.; Derakhshandeh, J.; Dhakras, P.; Suhard, S.; Gerets, C.; Dewilde, S.; Georgieva, V.; Jourdain, A.; et al. Direct Die-to-Wafer Hybrid Bonding Using Plasma Diced Dies and Bond Pad Pitch Scaling Down to 2 µm. In Proceedings of the 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 28–31 May 2024; pp. 40–44. [Google Scholar] [CrossRef]
  9. Kagawa, Y.; Fujii, N.; Aoyagi, K.; Kobayashi, Y.; Nishi, S.; Todaka, N.; Takeshita, S.; Taura, J.; Takahashi, H.; Nishimura, Y.; et al. Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 8.4.1–8.4.4. [Google Scholar] [CrossRef]
  10. Kamei, T.; Yoshioka, H.; Hiratsuka, T.; Sakamoto, A.; Shimizu, K.; Iwamoto, H. Development of high reliability 6 µm-pitch Cu-Cu connections using over-400 mm2-large Chip on Wafer bonding process. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 1285–1290. [Google Scholar] [CrossRef]
  11. Chia, H.J.; Tai, S.P.; Cui, J.J.; Wang, C.T.; Tung, C.H.; Yee, K.C.; Yu, D.C.H. Ultra High Density Low Temperature SoIC with Sub-0.5 μm Bond Pitch. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 1–4. [Google Scholar] [CrossRef]
  12. Jouve, A.; Balan, V.; Bresson, N.; Euvrard-Colnat, C.; Fournel, F.; Exbrayat, Y.; Mauguen, G.; Sater, M.A.; Beitia, C.; Arnaud, L.; et al. 1 μm Pitch direct hybrid bonding with <300 nm wafer-to-wafer overlay accuracy. In Proceedings of the 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA, USA, 16–19 October 2017; pp. 1–2. [Google Scholar] [CrossRef]
  13. Oi, K.; Otake, S.; Shimizu, N.; Watanabe, S.; Kunimoto, Y.; Kurihara, T.; Koyama, T.; Tanaka, M.; Aryasomayajula, L.; Kutlu, Z. Development of new 2.5D package with novel integrated organic interposer substrate with ultra-fine wiring and high density bumps. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 348–353. [Google Scholar] [CrossRef]
  14. Seo, H.; Park, H.; Kim, S.E. Comprehensive Analysis of a Cu Nitride Passivated Surface That Enhances Cu-to-Cu Bonding. IEEE Trans. Compon. Pack. Manuf. Technol. 2020, 10, 1814–1820. [Google Scholar] [CrossRef]
  15. Wong, H. Abridging CMOS Technology. Nanomaterials 2022, 12, 4245. [Google Scholar] [CrossRef]
  16. Li, C.; Pan, Z.; Li, X.; Hao, W.; Miao, R.; Wang, A. Selective Overview of 3D Heterogeneity in CMOS. Nanomaterials 2022, 12, 2340. [Google Scholar] [CrossRef]
  17. Jeon, H.J.; Hong, S.J. Ammonia Plasma Surface Treatment for Enhanced Cu–Cu Bonding Reliability for Advanced Packaging Interconnection. Coatings 2024, 14, 1449. [Google Scholar] [CrossRef]
  18. Jeong, J.; Geum, D.-M.; Kim, S. Heterogeneous and Monolithic 3D Integration Technology for Mixed-Signal ICs. Electronics 2022, 11, 3013. [Google Scholar] [CrossRef]
  19. Gomes, W.; Khushu, S.; Ingerly, D.B.; Stover, P.N.; Chowdhury, N.I.; O’Mahony, F. Lakefield and Mobility Compute: A 3D Stacked 10nm and 22FFL Hybrid Processor System in 12 × 12mm2, 1 mm Package-on-Package. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 144–146. [Google Scholar] [CrossRef]
  20. Simakov, N.A.; Jones, M.D.; Furlani, T.R.; Siegmann, E.; Harrison, R.J. First Impressions of the NVIDIA Grace CPU Superchip and NVIDIA Grace Hopper Superchip for Scientific Workloads. In Proceedings of the HPCAsia’24 Workshops: Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region Workshops, Nagoya, Japan, 25–27 January 2024; pp. 36–44. [Google Scholar] [CrossRef]
  21. Hybrid Bonding Process Flow—Advanced Packaging Part 5, Semi Analysis Website. Available online: https://semianalysis.com/2024/02/09/hybrid-bonding-process-flow-advanced/ (accessed on 24 February 2025).
  22. Save, D.; Braud, F.; Torres, J.; Binder, F.; Muller, C.; Weidner, J.O.; Hasse, W. Electromigration resistance of copper interconnects. Microelectron. Eng. 1997, 33, 75–84. [Google Scholar] [CrossRef]
  23. Chen, K.N.; Lee, S.H.; Andry, P.S.; Tsang, C.K.; Topol, A.W.; Lin, Y.M.; Lu, J.Q.; Young, A.M.; Leong, M.; Haensch, W.; et al. Structure, design and process control for Cu bonded interconnects in 3D integrated circuits. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 1–4. [Google Scholar] [CrossRef]
  24. Lu, T.-F.; Cheng, Y.-F.; Wang, P.-W.; Yen, Y.-T.; Wu, Y.-C. Eliminating Cu–Cu Bonding Interfaces Using Electroplated Copper and (111)-Oriented Nanotwinned Copper. Materials 2024, 17, 3467. [Google Scholar] [CrossRef] [PubMed]
  25. Niu, T.; Xu, K.; Shen, C.; Sun, T.; Oberst, J.; Handwerker, C.A.; Subbarayan, G.; Wang, H.; Zhang, X. In Situ Study on Cu-to-Cu Thermal Compression Bonding. Crystals 2023, 13, 989. [Google Scholar] [CrossRef]
  26. Suga, T. Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging. In Proceedings of the 50th Electronic Components & Technology Conference (ECTC 01), Las Vegas, NV, USA, 21–24 May 2000; pp. 702–705. [Google Scholar] [CrossRef]
  27. Roshanghias, A.; Kaczynski, J.; Rodrigues, A.; Karami, R.; Pires, M.; Burggraf, J.; Schmidt, A. 3D Integration via D2D Bump-Less Cu Bonding with Protruded and Recessed Topographies. ECS J. Solid State Sci. Technol. 2023, 12, 084001. [Google Scholar] [CrossRef]
  28. Tong, Q.-Y.; Fountain, G.G., Jr.; Enquist, P.M. Method for Low-Temperature Bonding and Bonded Structure. World Patent No WO2001061743A1, 23 August 2008. [Google Scholar]
  29. Di Cioccio, L.; Baudin, F.; Gergaud, P.; Delaye, V.; Jouneau, P.-H.; Rieutord, F.; Signamarcheix, T.J.E.T. Modeling and integration phenomena of metal-metal direct bonding technology. ECS Trans. 2014, 64, 339. [Google Scholar] [CrossRef]
  30. Utsumi, J.; Ide, K.; Ichiyanagi, Y. Room temperature bonding of SiO2 and SiO2 by surface activated bonding method using Si ultrathin films. Jpn. J. Appl. Phys. 2016, 55, 4. [Google Scholar] [CrossRef]
  31. He, R.; Fujino, M.; Yamauchi, A.; Suga, T. Combined Surface Activated Bonding Technique for Hydrophilic SiO2-SiO2 and Cu-Cu Bonding. In Proceedings of the Symposium on Semiconductor Wafer Bonding-Science, Technology and Applications 14 held during the RiME Joint Int Meeting of The Electrochemical-Society, the Electrochemical-Society-of-Japan, and the Korean-Electrochemical-Society, Honolulu, HI, USA, 2–7 October 2016; pp. 117–128. [Google Scholar] [CrossRef]
  32. Renaud, P.; Abadie, K.; Fournel, F.; Dubarry, C.; Baudin, F.; Tauzin, A. SAB-Enabled Room Temperature Hybrid Bonding. ECS Trans. 2023, 112, 83. [Google Scholar] [CrossRef]
  33. Tan, C.S.; Lim, D.F.; Singh, S.G.; Goulet, S.K.; Bergkvist, M. Cu-Cu diffusion bonding enhancement at low temperature by surface passivation using self-assembled monolayer of alkane-thiol. Appl. Phys. Lett. 2009, 95, 3. [Google Scholar] [CrossRef]
  34. Tan, C.S.; Lim, D.F. Cu Surface Passivation with Self-Assembled Monolayer (SAM) and Its Application for Wafer Bonding at Moderately Low Temperature. In Proceedings of the 12th International Symposium on Semiconductor Wafer Bonding-Science, Technology, and Applications, Honolulu, HI, USA, 4–9 October 2012; pp. 115–123. [Google Scholar] [CrossRef]
  35. Hong, Z.J.; Liu, D.M.; Hu, H.W.; Lin, M.C.; Hsieh, T.H.; Chen, K.N.; Soc, I.C. Ultra-High Strength Cu-Cu Bonding under Low Thermal Budget for Chiplet Heterogeneous Applications. In Proceedings of the IEEE 71st Electronic Components and Technology Conference (ECTC), Electronic Network, San Diego, CA, USA, 1 June–4 July 2021; pp. 347–352. [Google Scholar] [CrossRef]
  36. Hong, Z.J.; Liu, D.M.; Hu, H.W.; Cho, C.; Weng, M.W.; Liu, J.H.; Chen, K.N. Investigation of bonding mechanism for low-temperature Cu-Cu bonding with passivation layer. Appl. Surf. Sci. 2022, 592, 153243. [Google Scholar] [CrossRef]
  37. Hong, Z.J.; Liu, D.M.; Hu, H.W.; Hsiung, C.K.; Cho, C.I.; Chen, C.H.; Liu, J.H.; Weng, M.W.; Hsu, M.P.; Hung, Y.C.; et al. Low-temperature hybrid bonding with high electromigration resistance scheme for application on heterogeneous integration. Appl. Surf. Sci. 2023, 610, 155470. [Google Scholar] [CrossRef]
  38. Park, H.; Seo, H.; Kim, S.E. Anti-oxidant copper layer by remote mode N2 plasma for low temperature copper-copper bonding. Sci. Rep. 2020, 10, 21720. [Google Scholar] [CrossRef]
  39. Hahn, S.H.; Kim, W.; Shin, D.; Lee, Y.; Kim, S.; Choi, W.; Lim, K.; Moon, B.; Rhee, M. Contamination-Free Cu/SiCN Hybrid Bonding Process Development for Sub-μm Pitch Devices with Enhanced Bonding Characteristics. In Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 1390–1396. [Google Scholar] [CrossRef]
  40. Agrawal, M.; Manohar, B.M.; Nagarajaiah, K. Cu-Cu thermo compression wafer bonding techniques for micro-system integration. Indian J. Eng. Mat. Sci. 2021, 28, 142–151. [Google Scholar]
  41. Chou, T.C.; Yang, K.M.; Li, J.C.; Yu, T.Y.; Yang, Y.T.; Hu, H.W.; Liu, Y.W.; Ko, C.T.; Chen, Y.H.; Tseng, T.J.; et al. Investigation of Pillar-Concave Structure for Low-Temperature Cu-Cu Direct Bonding in 3-D/2.5-D Heterogeneous Integration. IEEE Trans. Compon. Pack. Manuf. Technol. 2020, 10, 1296–1303. [Google Scholar] [CrossRef]
  42. Bhushan, B. Frictional heating and contact temperatures. In Modern Tribology Handbook; CRC Press: Boca Raton, FL, USA, 2000. [Google Scholar]
  43. Sahoo, K.; Ren, H.X.; Iyer, S.S. A High Throughput Two- Stage Die-to-Wafer Thermal Compression Bonding Scheme for Heterogeneous Integration. In Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 362–366. [Google Scholar] [CrossRef]
  44. Kim, S.W.; Fodor, F.; Heylen, N.; Iacovo, S.; De Vos, J.; Miller, A.; Beyer, G.; Beyne, E. Novel Cu/SiCN surface topography control for 1 μm pitch hybrid wafer-to-wafer bonding. In Proceedings of the 70th IEEE Electronic Components and Technology Conference (ECTC), Electronic Network, Orlando, FL, USA, 3–30 June 2020; pp. 216–222. [Google Scholar] [CrossRef]
  45. Kagawa, Y.; Kamibayashi, T.; Yamano, Y.; Nishio, K.; Sakamoto, A.; Yamada, T.; Shimizu, K.; Hirano, T.; Iwamoto, H. Development of face-to-face and face-to-back ultra-fine pitch Cu-Cu hybrid bonding. In Proceedings of the 72nd IEEE Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–1 June 2022; pp. 306–311. [Google Scholar] [CrossRef]
  46. Kagawa, Y.; Kamibayashi, T.; Fujii, N.; Furuse, S.; Yamada, T.; Hirano, T.; Iwamoto, H. Cu-Cu Wiring: The Novel Structure of Cu-Cu Hybrid Bonding. In Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 103–108. [Google Scholar] [CrossRef]
  47. Otsuka, T.S.K. Modified SAB Methods for Hybrid and All-Cu Bonding for 3D Integration below 200 °C. ECS Trans. 2023, 112, 103. [Google Scholar] [CrossRef]
  48. De Messemaeker, J.; Witters, L.; Zhang, B.Y.; Tsau, Y.W.; Fodor, F.; De Vos, J.; Beyer, G.; Croes, K.; Beyne, E. New Cu “Bulge-Out” Mechanism Supporting Sub Micron Scaling of Hybrid Wafer-to-Wafer Bonding. In Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 109–113. [Google Scholar] [CrossRef]
  49. Liu, C.M.; Lin, H.W.; Chu, Y.C.; Chen, C.; Lyu, D.R.; Chen, K.N.; Tu, K.N. Low-temperature direct copper-to-copper bonding enabled by creep on highly (111)-oriented Cu surfaces. Scr. Mater. 2014, 78–79, 65–68. [Google Scholar] [CrossRef]
  50. Tseng, C.H.; Tu, K.N.; Chen, C. Comparison of oxidation in uni-directionally and randomly oriented Cu films for low temperature Cu-to-Cu direct bonding. Sci. Rep. 2018, 8, 10671. [Google Scholar] [CrossRef]
  51. Shie, K.C.; Gusak, A.M.; Tu, K.N.; Chen, C. A kinetic model of copper-to-copper direct bonding under thermal compression. J. Mater. Res. Technol.-JMRT 2021, 15, 2332–2344. [Google Scholar] [CrossRef]
  52. Liu, T.C.; Liu, C.M.; Hsiao, H.Y.; Lu, J.L.; Huang, Y.S.; Chen, C. Fabrication and Characterization of (111)-Oriented and Nanotwinned Cu by Dc Electrodeposition. Cryst. Growth Des. 2012, 12, 5012–5016. [Google Scholar] [CrossRef]
  53. Shie, K.C.; Juang, J.Y.; Chen, C. Instant Cu-to-Cu direct bonding enabled by ⟨111⟩-oriented nanotwinned Cu bumps. Jpn. J. Appl. Phys. 2020, 59, SBBA03. [Google Scholar] [CrossRef]
  54. Ong, J.J.; Chiu, W.L.; Lee, O.H.; Chiang, C.W.; Chang, H.H.; Wang, C.H.; Shie, K.C.; Yang, S.C.; Tran, D.P.; Tu, K.N.; et al. Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using (111)-Oriented Cu Surfaces. Materials 2022, 15, 1888. [Google Scholar] [CrossRef]
  55. Schmidt, R.; Schwarz, C.; Kirbach, U.; Jäger, C.; Soc, I.C. Towards Copper-Copper Direct Bonding: Controlled Crystal Growth of Copper Deposits for Minimization of Interface Formation During Bonding. In Proceedings of the IEEE 71st Electronic Components and Technology Conference (ECTC), Electronic Network, San Diego, CA, USA, 1 June–4 July 2021; pp. 1922–1927. [Google Scholar] [CrossRef]
  56. Schmidt, R.; Schwarz, C. Optimization of the Cu Microstructure to Improve Copper-to-Copper Direct Bonding for 3D Integration. In Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 2024–2028. [Google Scholar] [CrossRef]
  57. He, C.; Zhou, J.Z.; Zhou, R.; Chen, C.; Jing, S.Y.; Mu, K.Y.; Huang, Y.T.; Chung, C.C.; Cherng, S.J.; Lu, Y.; et al. Nanocrystalline copper for direct copper-to-copper bonding with improved cross-interface formation at low thermal budget. Nat. Commun. 2024, 15, 7095. [Google Scholar] [CrossRef] [PubMed]
  58. Park, J.; Kang, S.; Kim, M.E.; Kim, N.J.; Kim, J.; Kim, S.; Kim, K.M. Advanced Cu/Polymer Hybrid Bonding System for Fine-Pitch 3D Stacking Devices. Adv. Mater. Technol. 2023, 8, 2202134. [Google Scholar] [CrossRef]
  59. Ji, L.; Che, F.X.; Ji, H.M.; Li, H.Y.; Kawano, M. Modelling and characterization of wafer-to-wafer hybrid bonding technology for 3D IC packaging. In Proceedings of the IEEE 21st Electronics Packaging Technology Conference (EPTC), Singapore, 4–6 December 2019; pp. 87–94. [Google Scholar] [CrossRef]
  60. Isobe, A. A study of CMP Edge Profile for Production Wafers. In Proceedings of the ICPT 2017, Leuven, Belgium, 11–13 October 2017. [Google Scholar]
  61. Yoneda, S.; Adachi, K.; Kobayashi, K.; Matsukawa, D.; Sasaki, M.; Itabashi, T.; Shirasaka, T.; Shibata, T.; Soc, I.C. A Novel Photosensitive Polyimide Adhesive Material for Hybrid Bonding Processing. In Proceedings of the IEEE 71st Electronic Components and Technology Conference (ECTC), Electronic Network, San Diego, CA, USA, 1 June–4 July 2021; pp. 680–686. [Google Scholar] [CrossRef]
  62. Shirasaka, T.; Okuda, T.; Shibata, T.; Yoneda, S.; Matsukawa, D.; Mariappan, M.; Koyanagi, M.; Fukushima, T. Comprehensive Study on Advanced Chip on Wafer Hybrid Bonding with Copper/Polyimide Systems. In Proceedings of the 72nd IEEE Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–1 June 2022; pp. 317–323. [Google Scholar] [CrossRef]
  63. Jang, S.; Lee, S.; Park, S.; Kim, S.E. Fly Cutting for Polymer Planarization in Hybrid Cu Bonding. In Proceedings of the ICEP 2024, Toyama, Japan, 17–20 April 2024. [Google Scholar] [CrossRef]
  64. Kundu, S.; Roy, R.; Rahman, M.S.; Upadhyay, S.; Topaloglu, R.O.; Mohney, S.E.; Huang, S.; Ghosh, S. Exploring Topological Semi-Metals for Interconnects. J. Low Power Electron. Appl. 2023, 13, 16. [Google Scholar] [CrossRef]
  65. Lanzillo, N.A.; Bajpai, U.; Chen, C.-T. Topological Semimetal Interface Resistivity Scaling for Vertical Interconnect Applications. Appl. Phys. Lett. 2024, 124, 181603. [Google Scholar] [CrossRef]
  66. Kim, S.H.; Seo, J.; Koo, J.; Chang, J.; Jin, G.; Han, H.J. Topological Semimetals for Advanced Node Interconnects. iScience 2024, 27, 111460. [Google Scholar] [CrossRef]
  67. Dutta, S.; Chang, S.C.; Kani, N.; Nikonov, D.E.; Manipatruni, S.; Young, I.A.; Naeemi, A. Non-Volatile Clocked Spin Wave Interconnect for Beyond-CMOS Nanomagnet Pipelines. Sci. Rep. 2015, 5, 9861. [Google Scholar] [CrossRef]
Figure 1. Technology trend on the pitch scaling and bond density enhancement over the last five decades.
Figure 1. Technology trend on the pitch scaling and bond density enhancement over the last five decades.
Nanomaterials 15 00729 g001
Figure 2. Typical bonding strength behavior as a function of storage time. (a) SiO2-SiO2 bonding, data taken from [28], and (b) Cu-Cu bonding, data taken from [29].
Figure 2. Typical bonding strength behavior as a function of storage time. (a) SiO2-SiO2 bonding, data taken from [28], and (b) Cu-Cu bonding, data taken from [29].
Nanomaterials 15 00729 g002
Figure 3. Plot of the contact resistance of bonded Cu pads corresponding to the diameters of electrodes. The markers are data taken from [6], and the red lines represent the calculated resistance based on Ohm’s law.
Figure 3. Plot of the contact resistance of bonded Cu pads corresponding to the diameters of electrodes. The markers are data taken from [6], and the red lines represent the calculated resistance based on Ohm’s law.
Nanomaterials 15 00729 g003
Figure 4. TEM cross-sectional image of a Si-containing SiO2/SiO2 bonding interface: (a) low magnification and (b) high resolution. Voids are not observed at the interface, but there is a layer of amorphous Si above and below the bonding surface, caused by different Ar fast atom irradiation to the upper and lower wafers Reproduced with permission from [30], Jpn. J. Appl. Phys.; published by IOP, 2016.
Figure 4. TEM cross-sectional image of a Si-containing SiO2/SiO2 bonding interface: (a) low magnification and (b) high resolution. Voids are not observed at the interface, but there is a layer of amorphous Si above and below the bonding surface, caused by different Ar fast atom irradiation to the upper and lower wafers Reproduced with permission from [30], Jpn. J. Appl. Phys.; published by IOP, 2016.
Nanomaterials 15 00729 g004
Figure 5. Schematic of common hybrid bonding steps with the combined SAB method Reproduced with permission from [31], ECS Trans.; published by IOP, 2016.
Figure 5. Schematic of common hybrid bonding steps with the combined SAB method Reproduced with permission from [31], ECS Trans.; published by IOP, 2016.
Nanomaterials 15 00729 g005
Figure 6. (a) Process flow of combined SAB without and with prebonding attach–detach. (b) TEM image of the Cu-Cu bonding interface after postbonding annealing using the combined SAB method, with a few voids and CuOx Reproduced with permission from [31], ECS Trans.; published by IOP, 2016.
Figure 6. (a) Process flow of combined SAB without and with prebonding attach–detach. (b) TEM image of the Cu-Cu bonding interface after postbonding annealing using the combined SAB method, with a few voids and CuOx Reproduced with permission from [31], ECS Trans.; published by IOP, 2016.
Nanomaterials 15 00729 g006
Figure 7. TEM cross-sectional images of SAB Cu pads bonded at room temperature: (a) SAB without annealing; (b) SAB and annealed at 150 °C for 2 h Reproduced with permission from [32], ECS Trans.; published by IOP, 2023.
Figure 7. TEM cross-sectional images of SAB Cu pads bonded at room temperature: (a) SAB without annealing; (b) SAB and annealed at 150 °C for 2 h Reproduced with permission from [32], ECS Trans.; published by IOP, 2023.
Nanomaterials 15 00729 g007
Figure 8. Schematic and SAM data of different plasma surface treatments: (a) Ar plasma surface treatment; (b) NH3 plasma surface treatment. The corresponding results are depicted in (c) and (d), respectively. Reproduced with permission from [17], Coatings, published by MDPI, 2024.
Figure 8. Schematic and SAM data of different plasma surface treatments: (a) Ar plasma surface treatment; (b) NH3 plasma surface treatment. The corresponding results are depicted in (c) and (d), respectively. Reproduced with permission from [17], Coatings, published by MDPI, 2024.
Nanomaterials 15 00729 g008
Figure 9. TEM comparison of the Cu-Cu bonding interface without and with a passivation layer composed of linear chain alkane-thiol with six (C6) and twelve (C12) carbon atoms, respectively: (a) without SAM passivation, (b) with C6 SAM passivation, and (c) with C12 SAM passivation. Dashed lines indicate the interfaces. Reproduced with permission from [34], ECS Trans.; published by IOP, 2012.
Figure 9. TEM comparison of the Cu-Cu bonding interface without and with a passivation layer composed of linear chain alkane-thiol with six (C6) and twelve (C12) carbon atoms, respectively: (a) without SAM passivation, (b) with C6 SAM passivation, and (c) with C12 SAM passivation. Dashed lines indicate the interfaces. Reproduced with permission from [34], ECS Trans.; published by IOP, 2012.
Nanomaterials 15 00729 g009
Figure 10. TEM image of the ultrathin cluster-Ag passivation structure bonded at 150 °C. The contacted Ag passivation layers with fragment metal grain form the cluster structure, while Cu diffuses through the cluster gaps and fills up the voids. Reproduced with permission from [37], Appl. Surf. Sci.; published by Elsevier, 2023.
Figure 10. TEM image of the ultrathin cluster-Ag passivation structure bonded at 150 °C. The contacted Ag passivation layers with fragment metal grain form the cluster structure, while Cu diffuses through the cluster gaps and fills up the voids. Reproduced with permission from [37], Appl. Surf. Sci.; published by Elsevier, 2023.
Nanomaterials 15 00729 g010
Figure 11. Mechanical strength test plots of Ag, Au, Pd, and cluster-Ag passivation layers at 10 nm and 3 nm: (a) pull test; (b) shear test. The strength of the wafer bonded with 10 nm silver passivation was too low and prematurely debonded in the pull test. Reproduced with permission from [37], Appl. Surf. Sci.; published by Elsevier, 2023
Figure 11. Mechanical strength test plots of Ag, Au, Pd, and cluster-Ag passivation layers at 10 nm and 3 nm: (a) pull test; (b) shear test. The strength of the wafer bonded with 10 nm silver passivation was too low and prematurely debonded in the pull test. Reproduced with permission from [37], Appl. Surf. Sci.; published by Elsevier, 2023
Nanomaterials 15 00729 g011
Figure 12. Low temperature Cu-Cu bonding mechanism of the two-step Ar/N2 plasma process. Reproduced with permission from [38], Sci. Rep.; published by Nature, 2020.
Figure 12. Low temperature Cu-Cu bonding mechanism of the two-step Ar/N2 plasma process. Reproduced with permission from [38], Sci. Rep.; published by Nature, 2020.
Nanomaterials 15 00729 g012
Figure 13. TEM images of SiCN-SiCN (Left) and Cu-Cu (Right) bonding interfaces with N2 plasma treatment by contamination-free nitrogen passivation strategy. Reproduced with permission from [39], ECTC, published by IEEE, 2023.
Figure 13. TEM images of SiCN-SiCN (Left) and Cu-Cu (Right) bonding interfaces with N2 plasma treatment by contamination-free nitrogen passivation strategy. Reproduced with permission from [39], ECTC, published by IEEE, 2023.
Nanomaterials 15 00729 g013
Figure 14. (a) Three-dimensional schematic and (b) cross-sectional schematic of the pillar–concave Cu structure for high-pressure Cu-Cu bonding. Reproduced with permission from [41], IEEE Trans. Compon. Pack. Manuf. Technol.; published by IEEE, 2020.
Figure 14. (a) Three-dimensional schematic and (b) cross-sectional schematic of the pillar–concave Cu structure for high-pressure Cu-Cu bonding. Reproduced with permission from [41], IEEE Trans. Compon. Pack. Manuf. Technol.; published by IEEE, 2020.
Nanomaterials 15 00729 g014
Figure 15. SEM image of high-throughput two-stage TCB with protruding Cu pads. Reproduced with permission [43], ECTC, published by IEEE, 2023.
Figure 15. SEM image of high-throughput two-stage TCB with protruding Cu pads. Reproduced with permission [43], ECTC, published by IEEE, 2023.
Nanomaterials 15 00729 g015
Figure 16. Schematic of Cu/SiCN hybrid wafer-to-wafer bonding with a protruding/recessed Cu pad array. Reproduced with permission from [44], ECTC, published by IEEE, 2020.
Figure 16. Schematic of Cu/SiCN hybrid wafer-to-wafer bonding with a protruding/recessed Cu pad array. Reproduced with permission from [44], ECTC, published by IEEE, 2020.
Nanomaterials 15 00729 g016
Figure 17. Schematic of ultrafine pitch hybrid bonding with a protruding Cu pad structure. Reproduced with permission from [45], ECTC, published by IEEE, 2022.
Figure 17. Schematic of ultrafine pitch hybrid bonding with a protruding Cu pad structure. Reproduced with permission from [45], ECTC, published by IEEE, 2022.
Nanomaterials 15 00729 g017
Figure 18. Cross-sectional image and schematic of (a) the conventional Cu-Cu hybrid bonding structure and (b) a Cu-Cu wiring structure in face-to-face and face-to-back bonding. Reproduced with permission from [46], ECTC, published by IEEE, 2023.
Figure 18. Cross-sectional image and schematic of (a) the conventional Cu-Cu hybrid bonding structure and (b) a Cu-Cu wiring structure in face-to-face and face-to-back bonding. Reproduced with permission from [46], ECTC, published by IEEE, 2023.
Nanomaterials 15 00729 g018
Figure 19. An example of an AC3D interconnect. A small insulation layer surrounds the area around the Cu electrode on the wafers, while the rest is covered with Cu solid layers. Reproduced with permission from [47], ECS Trans.; published by IOP, 2023.
Figure 19. An example of an AC3D interconnect. A small insulation layer surrounds the area around the Cu electrode on the wafers, while the rest is covered with Cu solid layers. Reproduced with permission from [47], ECS Trans.; published by IOP, 2023.
Nanomaterials 15 00729 g019
Figure 20. TEM images of fine pitch bonding with unequaled pad sizes, revealing the “Bulge-Out” phenomenon. (a) Defective connections caused by excessively recessed Cu pads. (b) Excellent connections with proper Cu pad height. Reproduced with permission from [48], ECTC, published by IEEE, 2023.
Figure 20. TEM images of fine pitch bonding with unequaled pad sizes, revealing the “Bulge-Out” phenomenon. (a) Defective connections caused by excessively recessed Cu pads. (b) Excellent connections with proper Cu pad height. Reproduced with permission from [48], ECTC, published by IEEE, 2023.
Nanomaterials 15 00729 g020
Figure 21. EBSD orientation image of optimized-orientation Cu pads (a) and random-orientation Cu pads bonded at 200 °C for 30 min (b). Reproduced with permission from [49], Scr. Mater., published by Elsevier, 2014.
Figure 21. EBSD orientation image of optimized-orientation Cu pads (a) and random-orientation Cu pads bonded at 200 °C for 30 min (b). Reproduced with permission from [49], Scr. Mater., published by Elsevier, 2014.
Nanomaterials 15 00729 g021
Figure 22. (a) FIB tilt-view of a nanotwinned Cu pad. (b) Enlarged view of a nanotwinned Cu pad at the cut surface by ion etching. Reproduced with permission from [52], Cryst. Growth Des.; published by ACS, 2012.
Figure 22. (a) FIB tilt-view of a nanotwinned Cu pad. (b) Enlarged view of a nanotwinned Cu pad at the cut surface by ion etching. Reproduced with permission from [52], Cryst. Growth Des.; published by ACS, 2012.
Nanomaterials 15 00729 g022
Figure 23. Elctron and ion images of a <111>-oriented nt-Cu bonding interface. (a) electron image and (b) ion image for after 10 s bonding; (c) electron image and (d) ion image after 60 s bonding. Arrows indicate the microscope changes as compared with the 10 s bonding. Reproduced with permission from [53], Jpn. J. Appl. Phys.; published by IOP, 2020.
Figure 23. Elctron and ion images of a <111>-oriented nt-Cu bonding interface. (a) electron image and (b) ion image for after 10 s bonding; (c) electron image and (d) ion image after 60 s bonding. Arrows indicate the microscope changes as compared with the 10 s bonding. Reproduced with permission from [53], Jpn. J. Appl. Phys.; published by IOP, 2020.
Nanomaterials 15 00729 g023
Figure 24. (a) A schematic diagram of bonding after grain growth has stopped (b). A schematic diagram of bonding at small grains (c) (see the region highlighted with red dashed lines. SEM image of the interface bonded after grain growth has stopped (d). SEM image of the interface bonded at small grains. Reproduced with permission from [55], ECTC, published by IEEE, 2021.
Figure 24. (a) A schematic diagram of bonding after grain growth has stopped (b). A schematic diagram of bonding at small grains (c) (see the region highlighted with red dashed lines. SEM image of the interface bonded after grain growth has stopped (d). SEM image of the interface bonded at small grains. Reproduced with permission from [55], ECTC, published by IEEE, 2021.
Nanomaterials 15 00729 g024
Figure 25. SIM image of the as-prepared double layer structure (high-impurity nanocrystalline layer and coarse-grained layer); the original boundary of the two layers is marked by the white dashed line. Reproduced with permission from [57], Nat. Commun.; published by Nature, 2024.
Figure 25. SIM image of the as-prepared double layer structure (high-impurity nanocrystalline layer and coarse-grained layer); the original boundary of the two layers is marked by the white dashed line. Reproduced with permission from [57], Nat. Commun.; published by Nature, 2024.
Nanomaterials 15 00729 g025
Figure 26. Result of biased HAST with the new PI test vehicle of 2 μm L/S. (a) Cross-sectional SEM image in a freely selected area and (b) EDX result. Reproduced with permission from [61], ECTC, published by IEEE, 2021.
Figure 26. Result of biased HAST with the new PI test vehicle of 2 μm L/S. (a) Cross-sectional SEM image in a freely selected area and (b) EDX result. Reproduced with permission from [61], ECTC, published by IEEE, 2021.
Nanomaterials 15 00729 g026
Table 1. Summary of the bonding methods and key features discussed.
Table 1. Summary of the bonding methods and key features discussed.
Bonding MethodPitch (μm)Bonding ConditionsKey FeaturesReference
Direct hybrid bonding (Surface plasma activation)5Room temp., 0.3 MPaDefect-free and good grinding resistant[32]
Cluster-Ag passivation~15150 °C3.77 MPa (Pull test) and 21.95 MPa (Shear test) [37]
N2 plasma treatment/Passivation0.4–0.7NAVoid-free, well Cu-Cu inter-diffused and well-aligned[39]
Pillar–Concave Structure~25200 °C, 500 MPaEarly breakdown of polyimide/concave interface in shear test[41]
All-Cu 3D interconnect2<200 °CSimple process[47]
High throughput two-stage TCB7300 °C and 100 MPa or 400 °C and 50 MPa (annealing)No bonding interface observed[43]
Cu-Cu Wiring1.4NASimple process[46]
Unequal submicron-sized pads0.7230 °C to 350 °C (post-bond annealing)Complicated in design, variation in contact resistance[48]
Crystalline (111)-Oriented Nano-twinned Cu20200 °C, 1.06 MPaThermal and electrical stability up to 375 °C[54]
Crystalline metastable microstructure--200 °CTo monitor grain growth on the bonding surface[55,56]
Photosensitive polyimide adhesive20250–350 °C, 2–6 MPaShear test 4–4.5 MPa[61]
Citric or ascorbic aqueous treatment20250 °C, 5 MPaShear test > 20 MPa[62]
Fly cutting (Cu/polymer hybrid bonding)--200°CNo voids at PI-PI interface, some seams at Cu-Cu interface[63]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Bao, W.; Zhang, J.; Wong, H.; Liu, J.; Li, W. Emerging Copper-to-Copper Bonding Techniques: Enabling High-Density Interconnects for Heterogeneous Integration. Nanomaterials 2025, 15, 729. https://doi.org/10.3390/nano15100729

AMA Style

Bao W, Zhang J, Wong H, Liu J, Li W. Emerging Copper-to-Copper Bonding Techniques: Enabling High-Density Interconnects for Heterogeneous Integration. Nanomaterials. 2025; 15(10):729. https://doi.org/10.3390/nano15100729

Chicago/Turabian Style

Bao, Wenhan, Jieqiong Zhang, Hei Wong, Jun Liu, and Weidong Li. 2025. "Emerging Copper-to-Copper Bonding Techniques: Enabling High-Density Interconnects for Heterogeneous Integration" Nanomaterials 15, no. 10: 729. https://doi.org/10.3390/nano15100729

APA Style

Bao, W., Zhang, J., Wong, H., Liu, J., & Li, W. (2025). Emerging Copper-to-Copper Bonding Techniques: Enabling High-Density Interconnects for Heterogeneous Integration. Nanomaterials, 15(10), 729. https://doi.org/10.3390/nano15100729

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop