Next Article in Journal
Highly Stable Pickering Emulsions with Xylan Hydrate Nanocrystals
Next Article in Special Issue
Review of Highly Mismatched III-V Heteroepitaxy Growth on (001) Silicon
Previous Article in Journal
Low-Threshold Nanolaser Based on Hybrid Plasmonic Waveguide Mode Supported by Metallic Grating Waveguide Structure
Previous Article in Special Issue
An Operation Guide of Si-MOS Quantum Dots for Spin Qubits
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Review of Si-Based GeSn CVD Growth and Optoelectronic Applications

1
Key Laboratory of Microelectronic Devices Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
2
Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China
3
Institute of Microelectronics, University of Chinese Academy of Sciences, Beijing 100049, China
4
School of Physics and Information Engineering, Shanxi Normal University, Linfen 041004, China
5
Shaanxi Province Key Laboratory of Thin Films Technology Optical Test, Xi’an Technological University, Xi’an 710032, China
6
Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576, Singapore
*
Authors to whom correspondence should be addressed.
Nanomaterials 2021, 11(10), 2556; https://doi.org/10.3390/nano11102556
Submission received: 6 August 2021 / Revised: 22 September 2021 / Accepted: 22 September 2021 / Published: 29 September 2021
(This article belongs to the Special Issue Silicon Nanodevices)

Abstract

:
GeSn alloys have already attracted extensive attention due to their excellent properties and wide-ranging electronic and optoelectronic applications. Both theoretical and experimental results have shown that direct bandgap GeSn alloys are preferable for Si-based, high-efficiency light source applications. For the abovementioned purposes, molecular beam epitaxy (MBE), physical vapour deposition (PVD), and chemical vapor deposition (CVD) technologies have been extensively explored to grow high-quality GeSn alloys. However, CVD is the dominant growth method in the industry, and it is therefore more easily transferred. This review is focused on the recent progress in GeSn CVD growth (including ion implantation, in situ doping technology, and ohmic contacts), GeSn detectors, GeSn lasers, and GeSn transistors. These review results will provide huge advancements for the research and development of high-performance electronic and optoelectronic devices.

1. Introduction

Si-based integrated circuits (ICs), which are dominated by Si CMOS technology, have reached their physics limit. The influences of quantum effects, parasitic parameters, and process parameters on data transmission applications are also reaching their limits, as the rapid development of microelectronics has led to higher requirements for data transmission technology. For these reasons, scientists have proposed schemes to integrate optoelectronic devices with microelectronic devices [1,2,3,4,5,6,7]. However, Si-based on-chip integrated light source was lacking, and the light sources for existing optoelectronic integrated circuits (OEICs) were all externally coupled; though the coupling efficiency between the edge of the light source and grating coupler was high enough, the lack of an on-chip light source restricted OEICs’ applications [8,9,10]. As such, many research programs started to pay more attention to Si-based monolithic OEIC technology [11,12,13,14,15], which has the following advantages over the baseline technology: (i) it is compatible with mature Si CMOS technology; (ii) has low costs; (iii) has larger wafer sizes and larger scale production; (iv) its partial electrical interconnection can be replaced by optical interconnection, which can realize high-efficiency, high-speed, and low loss data transmission. Si-based monolithic OEIC technology uses Si-compatible semiconductor technology to integrate optoelectronic devices into Si chips in order to improve chip performance, extend chip function, and reduce costs. Though Si-based photonic devices, such as optical waveguides [16,17], photodetectors [18,19,20], optical modulators [21,22,23], and optical switches [24,25], have been successfully developed, it is difficult to achieve high-efficiency emission due to the facts that Si is an indirect bandgap semiconductor and its light emission efficiency is about five orders of magnitude lower than that of direct band gap III–V compound semiconductors. Thus, the need for an Si-based high-efficiency light source represents an important technical bottleneck in the development of Si-based monolithic OEICs. Therefore, looking for a direct bandgap semiconductor material that is compatible with the Si CMOS process is of great significance in the creation of large scale Si-based monolithic OEICs [26,27,28].
Group IV materials are compatible with the traditional Si CMOS process, and Si, SiGe, and Ge are commonly used as indirect band gap semiconductors despite not being suitable for light emission. Fortunately, tensile strain engineering and Sn-alloying engineering have enabled Ge to become a quasi-direct bandgap or direct bandgap material due to the small bandgap difference between its two minima in conduction bands (only 136 meV). Experimental research has shown an optical gain of 0.24% for tensile-strained n+-type Ge (the n-type doping level is 1 × 1019 cm−3), which led to the creation of optically injected and electrically injected Ge lasers [29,30,31,32]. However, the threshold for a Ge laser is too high, which means that weak tensile-strained n+-type Ge is not able to supply enough optical gain to achieve low-threshold lasing.
In recent decades, GeSn alloys have demonstrated novel indirect-to-direct bandgap transition, as well excellent carrier transport. Due to their tunable band structures, GeSn materials have become promising candidates to create Si-based OEICs with higher hole mobility, enhanced light absorption, etc. [33,34,35,36,37]. Growing high-quality GeSn layers with relatively high Sn contents has different challenges, e.g., Sn segregation during growth and the poor thermal stability of SnGe layers [38,39,40,41]. These issues root from the low solid solubility of Sn in Ge (<1%) and the large lattice mismatch between Si or Ge and GeSn. As early as 1995, the first growth of a GeSn/Ge superlattice was reported using a very low growth temperature in a molecular beam epitaxy (MBE) chamber. Such GeSn layers had an Sn content of 26% [42,43]. Based on these early pioneer works, other growth techniques, such as chemical vapor deposition (CVD) and magnetron sputtering, have been widely used to grow high-quality direct bandgap GeSn materials with high Sn contents [44,45,46,47,48,49]. Although MBE can grow GeSn materials well, its growth rate is extremely low, which makes it tough to manufacture on a large scale. To achieve a significant impact within the industry, it is very important to develop a commercially available tool to grow high-quality GeSn materials. At a very early development stage of GeSn growth via CVD, SnD4 and Ge2H6 were chosen as the Sn precursors and Ge precursors, respectively. Although there were many foundational studies on GeSn growth via CVD, SnD4 is a high-cost material with a short lifetime, which makes it incompatible with the industry. For this reason, other precursors such as SnCl4 have been explored. The IMEC and KTH groups pioneered the growing of GeSn layers using commercially available reaction precursors (SnCl4/Ge2H6) [50]. A major breakthrough was later demonstrated using the production of commercially available reaction precursors (SnCl4/GeH4) [51,52]. The limitations of incorporating Sn into Ge have been conquered, and two major breakthroughs for GeSn CVD growth have been reached: (i) a world record high Sn content (22.3%) in bulk GeSn materials with PL emission was observed at room temperature (indicating good material quality), and (ii) SiGeSn/GeSn/SiGeSn multiple quantum well (MQW) structure growth and low-temperature PL intensity were later able to be remarkable enhanced [53,54,55,56]. Furthermore, the low costs and widespread availability of these chemicals in large-scale fabrication makes them the best choice for GeSn-based optoelectronic integration into CMOS processing. To make GeSn an efficient N-type or P-type semiconductor material for optoelectronic device application, there is an urgent need to research and develop doping engineering for GeSn. Currently, doping technologies, such as ion implantation and in situ CVD doping, have been optimized regarding their target doping concentration and doping distributions.
After the successful growth of P+-Si/i–GeSn/n–GeSn via CVD, Jay Mathews et al. demonstrated the world’s first GeSn photodetector with a 2% Sn content in 2009 [57]. The wavelength cutoff was extended to be at least 1750 nm, which means that the GeSn photodetector with a 2% Sn content can cover the entire telecommunication band. Since then, GeSn photoconductor detectors [58,59,60,61,62,63], and p–GeSn/i–GeSn/n–GeSn heterostructure detectors [64,65,66,67,68] have been demonstrated. Advances in GeSn CVD growth technology have occurred alongside material quality and detector performance improvements, including: (i) the wavelength cutoff for the GeSn photodetector has been progressively broadened from 1800 nm to 2100, 2400, 2600, 2650, and 3650 nm [63]; (ii) based on wafer-bonding technology, the dark current for GeSn photodetector has been suppressed by more than two orders of magnitude [69]; (iii) peak specific detectivity values are now comparable to those of commercial extended-InGaAs detectors (4 × 1010 cm·Hz1/2·W−1) at the same wavelength range; (iv) a passivation technique was developed to enhance responsivity and peak specific detectivity [65]; and (v) mid-IR imaging was demonstrated with GeSn photodetectors, and the image quality of the GeSn photodetectors was found to be superior to that of a commercial PbSe detector [63].
Alongside the significant breakthroughs in GeSn growth and detectors, GeSn lasing had also developed to an advanced stage. Recently reported GeSn laser structures have all been grown via the CVD technique. Following the observation of a PL peak with narrowed line widths, a true direct bandgap GeSn material with an Sn content of up to 10% was experimentally demonstrated in 2014 [33]. Encouraged by this major technical breakthrough, researchers used the injection methods such as optical injection with a Ge laser to check the GeSn waveguide, and lasing behavior was clearly observed at a low temperature in 2015 [70]. Following this breakthrough, several types of GeSn lasers [71,72,73,74,75,76,77,78,79,80,81,82] were demonstrated, though they still suffer from the problems of low-temperature operation and high lasing thresholds. To overcome these difficulties, several methods have been proposed to improve performance, such as greater Sn incorporation into Ge [73,75,76], the use of SiGeSn/GeSn/SiGeSn heterostructures or SiGeSn/GeSn/SiGeSn MQWs as the gain medium [83,84,85,86], a modulation doping scheme in SiGeSn/GeSn/SiGeSn MQWs [87], defect management [80], and thermal management [81,82]. Considerable efforts in GeSn lasing research have led to an increased maximum lasing temperature of 270 K [76] due to the amazing discovery of strain relaxation growth mechanism [88]. Near-room-temperature lasing was also observed for a GeSn active medium with a 16% Sn content and high uniaxial tensile strain [77]. A breakthrough regarding the optical pumping threshold was reported in 2020, when a low-Sn-content GeSn material with a high uniaxial tensile strain was utilized as an active medium; continuous wave (CW) lasing was also achieved. However, the lasing temperature only reached 100 K due to the low directness of the active medium [80]. In the same year, electrically pumped GeSn/SiGeSn heterostructure lasers with operation temperatures of up to 100 K were demonstrated [89,90]; this was an essential achievement for Si-based electrically pumped group IV interband lasing.
As a group IV material, GeSn is compatible with Si and can realize the transition from indirect band gap to direct band gap by adjusting its Sn content, which makes it the best substitute for group IV materials in Si-based optoelectronic integration applications. GeSn has an extremely high carrier mobility, so it may also be an ideal materials for transistor applications. Due to the significant development of GeSn CVD growth technology, vertically stacked 3-GeSn-nanosheet pGAAFETs (gate-all-around FETs) [91], GeSn p-FinFETs [92,93], GeSn n-channel MOSFETs [94,95], GeSn/Ge vertical nanowire pFETs [96], GeSn GAA nanowire pFETs [97], and GeSn n-FinFETs [98] have been successfully demonstrated. Additionally, GeSn’s direct band gap property was found to effectively improve the tunneling probability of electrons, making an excellent material for TFET preparation [99,100], this opening a new development direction for the integrated circuit after Moore’s era. The discovery of this property has attracted considerable research interest in recent years. Since Sn naturally has low solid solubility in Ge (smaller than 1%), growth of high Sn composition single crystal GeSn is difficult. At present, devices prepared with GeSn materials are still in the research and development stage, so they have not been widely used in production.
To the best of our knowledge, there has yet to be a review article that systematically reported on GeSn material growth and counterpart optoelectronic devices using the CVD technique. UHVCVD [101,102,103,104], RPCVD [105,106,107,108,109,110], PECVD [111,112,113], LPCVD [114,115,116,117], and APCVD [118,119] are discussed in this review, with a focus on identifying processes that can be transferred for the commercial production of GeSn. The objective of this comprehensive review article is to provide readers with a full understanding of the recent experimental advancements in GeSn material growth using CVD, as well as their optoelectronic applications. However, due to the large numbers of publications in this area, the authors of this work only selected articles with significant scientific impacts.

2. Research Progress for GeSn CVD Growth and Its Potential Applications

So far, several types of growth techniques, such as MBE, magnetron sputtering, and CVD have been used to grow GeSn materials. CVD is the dominant growth method in the industry, so more easily transferable. Therefore, we decided to review GeSn CVD growth and its potential applications.

2.1. Potential Applications

A literature survey revealed that GeSn materials have numerous potential applications, including Si-based, integrated, high-efficiency light sources [120,121,122]; high-mobility electronic devices [92,93,94,95,96,97,98,99,100]; low-cost, Si-based, high-performance shortwave infrared (SWIR) imaging sensors [63,64,65]; Si-based photovoltaics [123]; optical signal encoding in the mid-infrared range [124,125]; high-performance logic applications [126,127]; Si-based integrated thermoelectrics as wearable devices [128,129]; Si-based spintronics [130,131]; Si-based integrated reconfigurable dipoles [132,133]; and Si-based quantum computing [134,135] (Figure 1). GeSn-related fundamental research and development applications have also been extensively investigated (Figure 2).
Figure 2 shows the optoelectronic applications of GeSn as a function of technology readiness level. It can be observed that GeSn detectors are getting closer to the low-cost SWIR imaging applications, indicating that GeSn materials have great potential for use in next-generation civilian night-vision and IR cameras [63,64,65]. However, there are still some technical problems, which are discussed in Section 3. In addition to detectors (which are being rapidly developed), high-quality SiGeSn/GeSn/SiGeSn MQW growth, room-temperature, CW, and electrically injected SiGeSn/GeSn/SiGeSn MQW lasers; MQW electro-absorption (EA) modulators; and photovoltaic cells are in the research and development stage.

2.2. Research Progress for GeSn CVD Growth

In 2001, Kouvetakis’s group from Arizona State University (ASU) first reported a GeSn alloy on oxidized and oxidized-free Si using UHVCVD [136]; since then, extensive GeSn CVD growth-related research works have been carried out. In 2003, SnD4 and SiH3GeH3 were used as reaction precursors, and single-phase SiGeSn on a GeSn buffer was first achieved on Si via UHVCVD at 350 °C [137]. To create GeSn materials with higher Sn contents, SnD4 and Ge2H6 were chosen as Sn and Ge precursors, respectively; the experimental results showed that SnD4 is helpful for low-temperature growth, and its reaction with Ge2H6 can create GeSn with an Sn content of up to 25% [138] (Figure 3). The crystallinity, bandgap, lattice constants, optical properties, photoresponses, photocurrents, and Raman scattering results of GeSn materials grown by UHVCVD have been systematically demonstrated [139,140,141,142,143,144]. In order to grow GeSn at extremely low temperatures, some authors used Ge3H8 and Ge4H10 as Ge precursors [145,146]. By using this method, single crystalline GeSn alloys were successfully deposited at temperatures ranging from 300 to 330 °C, the growth rate of the allows was able to meet industrial requirements, and the traditional SK growth mode was avoided. Finally, the authors concluded that Ge3H8 is a superior solution to grow GeSn alloys via UHVCVD [145,146]. Compared with previously reported reaction precursors (SnD4/Ge2H6), the growth rate of the SnD4/Ge3H8 combination was found to be improved 3–4 times. For this reason, a 1 μm thick GeSn layer with an Sn content of up to 9% was implemented, and room temperature photoluminescence spectra were observed, indicating that GeSn has great potential to be utilized as a gain medium for a Group IV laser. Later, SiGeSn growth at ultralow temperatures (from 290 to 330 °C) using Ge4H10, Si4H10, and SnD4 were reported [147,148,149].
Although there have been many foundational studies on GeSn growth via CVD investigated, SnD4 has high costs, is incompatible with the industry, and is unstable at room temperature. For these reasons, other precursors such as SnCl4 have been explored. IMEC and KTH were the first groups to propose GeSn growth using commercially available reaction precursors (SnCl4/Ge2H6).
Due to the fact that SnCl4 is liquid at room temperature, these groups evaporated SnCl4 using a bubbler that was connected to an RPCVD chamber. Experimental results showed that defect-free doped and undoped GeSn layers with Sn contents of up to 8% were created using RPCVD at atmosphere conditions. Thermal stability was further determined by annealing at different conditions (400 °C for 10 min, 400 °C for 30 min, 500 °C for 10 min, and 500 °C for 30 min); the (004) omega-2 theta scan of as-grown and annealed Ge0.92Sn0.08 samples were compared (Figure 4a). For the sample annealed at 500 °C for 30 min, the diffraction peaks of GeSn and Ge widened and a clear GeSn peak shift was observed, suggesting possible Ge–Sn interdiffusion. To further confirm this assumption, secondary ion mass spectroscopy (SIMS) was conducted. From the SIMS results, the authors concluded that APCVD-grown GeSn with 8% Sn content was stable at the annealing condition of 500 °C for 30 min (Figure 4b). This work paved the way for GeSn growth using both commercially available reaction precursors and CVD production equipment.
Since then, there has been a sharp increase in the scientific knowledge of GeSn CVD growth, as shown by a number of publications (Figure 5a). The number of publications on GeSn CVD growth grew dramatically in 2013 and reaches 19 in 2018 (Figure 5a). The rapid development of GeSn CVD growth techniques has meant that the number of GeSn optoelectronic device publications followed the similar tendency (Figure 5b): (i) following the world’s first demonstration of a GeSn detector, GeSn detector-related publications grew from 1 in 2008 to 30 in 2019; (ii) since the world’s first demonstration of an optically pumped GeSn laser, publications related to GeSn lasers continually increased from 10 in 2015 to 25 in 2019, and the majority of these laser publications reported experimental results; (iii) there are still few publications regarding GeSn modulators, and a CVD-grown modulator has not been achieved (the majority of the modulator publications have been theoretical investigations).
To help readers to understand the research status of CVD growth techniques, Figure 6 summarizes research on GeSn CVD growth since the introduction of CVD in 2001 in terms of the research institution, growth chamber, year of deposition, and corresponding reference. Figure 6 shows several types of growth chambers, such as UHVCVD, RPCVD, APCVD, PECVD, LPCVD, and RTCVD, that have been used to grow GeSn materials. Following pioneer works from ASU and IMEC, research groups from KTH Royal Institute of Technology (KTH), Applied Materials Inc (AM), PGI (Peter Grünberg Institute), and UA (University of Arkansas) started researching GeSn growth using CVD technology in 2013. Since then, research groups from ASM, University of Warwick (UW), National Taiwan University (NTWU), and Université de Montréal (EPM) have also researched GeSn CVD growth. Among all CVD growth technologies, RPCVD growth chamber is most widely accepted due to its commercial availability and more easily transferability (six research groups have used RPCVD chambers to grow GeSn). After the successful demonstration of the low-temperature growth of high-quality Ge on Si using PECVD, plasma-enhanced techniques came to be regarded as promising methods to grow GeSn materials. Thus, plasma-enhanced GeSn growth techniques aroused researchers’ attentions from UA and ASU.

2.3. GeSn CVD Growth Strategy

To have a full understanding of the GeSn CVD growth strategy, it is necessary to calibrate the Ge growth at low temperatures (below 450 °C). After calibration, the flow rate between Ge precursor and Sn precursor needs to be taken into consideration due to the possible etching effect of the generated Cl* species on the GeSn surface. Therefore, there is a critical flow rate, and the growth rate for GeSn growth has to be high enough to overcome the etching rate. More importantly, the effects of temperature, pressure, carrier gas, and strain relaxation on material growth must be canvassed.

2.3.1. Temperature and Pressure Effect on GeSn Growth

Previous GeSn CVD growth work has demonstrated that Sn content is closely related to growth temperature because the decreasing temperature moves the growth conditions further from equilibrium, thus increasing Sn content. Therefore, we summarize most GeSn CVD growth results in Figure 7. In GeSn growth using the SnCl4/GeH4 reaction precursor combination, SnCl4 and GeH4 lose their reactivity at a temperature of 280 °C and growth is totally ceased. Below 285 °C, GeH4 is not well-adsorbed, which may suggest the generation of GeH2 and/or 2H. Therefore, the growth temperature for GeSn RPCVD growth with the SnCl4/GeH4 reaction precursor combination is usually higher than 280 °C. For the Ge2H6 and SnCl4 precursor combination, GeSn growth temperature could be as low as 275 °C.
Significantly, UA demonstrated GeSn growth using PECVD with the commercially available GeH4 and SnCl4; low-temperature growth at 350 °C for GeSn epitaxy on an Si substrate was achieved with an Sn content of up to 6% [113]. By using a 1064 pulsed laser as the light source, a PL signal was also observed at the peak wavelength of 2000 nm, as shown in Figure 8 (Spot III).
Their follow-up work verified that the PECVD system was able to grow a high-Sn-content (>10%, with an PL emission peak at approximately 2100 nm) GeSn layer at ultralow temperatures (250, 260, and 270 °C) [157] (Figure 9). The realization of GeSn PECVD growth at such low temperatures using a SnCl4/GeH4 precursor combination mainly benefits from plasma-assisted reactivity improvements [157]. With proper growth optimization, the Sn content of the GeSn grown by PECVD should be higher than that of other CVD chambers. Compared to GeH4, Ge2H6 is more reactive and possesses lower growth temperature capabilities, indicating that the reactivity of the Ge-hydride is the only limiting factor for low-temperature GeSn growth. For GeSn RPCVD growth using GeH4, Sn incorporation was found to drastically decrease at ~285 °C, whereas the growth temperature limit for using Ge2H6 was found to be 270 °C [153].
For GeSn growth in a UHVCVD chamber [158,159,160,161], growth pressure is usually kept in the range of 1 × 10−4–2.5 × 10−4 Torr, and Sn content rises with decreasing growth temperatures. Even when different combinations of precursors (SnD4/Ge2H6, SnD4/Ge3H8, and SnD4/Ge4H10) are chosen, similar Sn content variation trends are observed (Figure 10). However, growth temperatures with different precursor combinations are varied; the lowest reported growth temperatures for SnD4/Ge2H6, SnD4/Ge3H8, and SnD4/Ge4H10 are 250, 350, and 150 °C, respectively [145,146,161]. Different from UHVCVD, pressures for GeSn growth in LPCVD and APCVD chambers have been found to range from 10 to 760 Torr [115,116,117,150]. The surface morphology of a layer GeSn grown by APCVD is shown in Figure 11, where surfaces are milky and pyramidical defects are observed at pressures of 10 and 100 Torr; this issue can solved by further increasing the growth rate (keep the SnCl4 constant and increase the Ge2H6 gas flow).
For GeSn APCVD growth at a temperature of 320 °C, pressure was found to be a main factor in the growth of high-Sn-content GeSn materials (the achieved Sn contents at 10 and 760 Torr were 16.7% and 6.6%, respectively) [119]. For LPCVD growth at 120 Torr and 320 °C, the Sn content for GeSn was almost the same as that of APCVD.

2.3.2. Carrier Gas Effect on GeSn Growth

The effect of carrier gas on GeSn CVD growth is important and of great significance for the good mixing of precursor gases in a CVD chamber [105,152,153]. In contrast to pure Ge growth, the GeSn CVD growth mechanism has changed due to the introduction of Sn precursors, which have made GeSn CVD growth more complex. In several instances, a thickness reduction or an absence of GeSn occurs when choosing N2 as the carrier gas; this indicates that the growth rate has already changed and is below the etching rate from HCl. Furthermore, the Sn content of GeSn grown with an N2 carrier gas is different from that grown with an H2 carrier gas (Sn% difference is usually approximately 1%; see Figure 12). This Sn content reduction may be mainly attributed to the lower growth rate found when using N2 as the carrier gas.

2.3.3. Strain Relaxation Effect on GeSn CVD Growth

F. Gencarelli et al. discovered a composition-dependent strain relaxation mechanism, and they found that high-Sn-content materials show a classical strain relaxation behavior [162]. Their AFM results showed that the island size and density of their low-Sn-content GeSn layers increased with strain relaxation degree (Figure 13) for the following reasons: higher amounts of Sn precursors were needed for high-Sn-content GeSn growth, extra Cl doses were exposed to the surface of GeSn and thus likely avoided Ge–Sn diffusion, Cl atoms could be regarded as the surfactants to mediate the enhancement of island size and density.
Later, high-quality GeSn with a world-record high Sn content of 22.3% was crafted after the discovery of strain-relaxation-enhanced (SRE) GeSn CVD growth mechanism [113], thus showing that compressive strain is the primary limiting factor for achieving greater Sn incorporation under an Sn oversaturation condition (Figure 14). In this research, the following growth strategy was proposed: (i) for first GeSn layer growth, they used a growth recipe of 9–12% Sn (the Sn content ranged from 8.8 to 11.9%); (ii) for second GeSn layer growth, they used the same growth recipe, and the SnCl4 flow fraction increased by ~8% compared to the first GeSn layer (the Sn content ranged from 12.5 to 16.5%); and (iii) for third GeSn layer growth, they used the same growth recipe, and the SnCl4 flow fraction increased by ~8% compared to the second GeSn layer. It should be noted that the grading rate of Sn incorporation was well-designed to suppress the growth breakdown. Inspired by the discovery of the SRE GeSn CVD growth mechanism, S. Assali et al. grew a high-quality GeSn layer with 15% Sn using low pressure chemical vapor deposition (LPCVD) in 2018 [115,116].

2.4. Doping for GeSn

Mainstream GeSn doping technologies, such as ion implantation and in situ CVD doping, have been intensively studied for future electronics and photonics applications. Low contact resistivity plays a vital role in the creation of high-performance devices. Table 1 presents a summary of reported B, BF2+, and P-doped GeSn via ion implantation in terms of year, institution, Sn content, doping type, doping concentration, activation temperature, and contact metal. Additionally, Table 2 and Table 3 present summaries of B-doped GeSn, P-doped GeSn, and As-doped GeSn in terms of year, institution, Sn content, doping type, doping concentration, contact metal, and contact resistivity.

2.4.1. Ion Implantation for GeSn

Ion implantation is a widely used technique for doping semiconductor materials, and its advantages include low-temperature operation, precise dose control, good uniformity, and extremely small lateral diffusion. The research and development of GeSn’s ion implantation technology is also of great significance for future device application. So far, researchers have carried out extensive research into GeSn ion implantation technology (although most GeSn has been grown in MBE chambers, which are also significant).
Phosphorus has been widely adopted for ion implantation to achieve efficient N-type doping in GeSn layers because its doping concentrations usually ranges from 2.1 × 1019 to 2.1 × 1021 cm−3. For the P-type doping, there are two options: boron and BF2+. The highest P-type doping concentration can reach up to 1 × 1020 cm−3.

2.4.2. In Situ GeSn CVD Doping

Optoelectronic devices, such as GeSn LEDs, GeSn lasers, and GeSn detectors, generally need highly doped GeSn for efficient carrier recombination and low contact resistance. Electronic devices, such as GeSn MOSFETs, GeSn TFETs, GeSn FinFETs, and GeSn GAAFETs (gate-all-around), require lower ohmic contacts, higher dopant concentrations, and selective doping. The use of in situ doping technology for GeSn is an attractive route for improving the performance of optoelectronic and electronic devices because it enables the doping of GeSn at low temperatures with a high doping efficiency and selective doping. Indeed, GeSn transitions from an indirect to direct bandgap material with an Sn content as high as 10%, and this property has led to research interest in Si-based, high-efficiency light sources. The first electrically injected GeSn lasers were recently demonstrated with Sn contents of 11% and 15%. It is definitely true that we require better solutions to create direct bandgap, high-quality doped GeSn, and the selection of an appropriate reaction doping gas and the optimization of epitaxial process are vital for this purpose. To this end, the growth of B-doped GeSn, P-doped GeSn, and As-doped GeSn using CVD has been reported by several institutions, as summarized in Table 2. However, there are several key points to consider: (I) Sn loss occurs for B-doped GeSn CVD growth, indicating that there is a competition between Sn and B atoms [150,185]; (II) excess partial pressure for PH3 contributes to poor material quality due to P segregation; (III) B2H6 partial pressure has no degradation effect on material quality, though it increases the activation doping concentration; (IV) more P could be incorporated into Ge and GeSn by using high order precursors; (V) boron δ-doping layers are helpful for highly doped GeSn growth, and the maximum B concentration can reach up to 1 × 1020 cm−3; and (VI) the doping efficiency of As-doped GeSn is better than that of P-doped GeSn [110].
Table 2. Summary of reported B-doped GeSn, P-doped GeSn, and As-doped GeSn in terms of year, institution, Sn content, doping type, doping concentration, and contact metal.
Table 2. Summary of reported B-doped GeSn, P-doped GeSn, and As-doped GeSn in terms of year, institution, Sn content, doping type, doping concentration, and contact metal.
YearInstitutionSn Content (%)N-TypeP-TypeDoping Concentration (cm−3)Contact MetalRef.
2009ASU2——P: 1 × 1020Cr/Au[57]
2011IMEC8——B: 1.7 × 1019——[150]
2013KTH Royal Institute of Technology9.4B: 5 × 1018
P: 1 × 1020
——[186,187]
2016PGI 98 and 11B: 2 × 1019
P: 1 × 1020
——[188]
2016PGI 98.5 and 15B: 4 × 1018
P: 7.5 × 1019
——[189]
2017ASM9——As: >2 × 1020——[110]
2017ASM and IMEC1.4——B: 2 × 1020——[190]
2018National Taiwan University10B: Sn loss
P: No Sn loss
——[191]
2019National Taiwan University>12——B: >1 × 1021Ti[192]
2019Leti10 and 15——P: 5 × 1020——[193]
2020National Taiwan University2, 4.7, and 13——B: 2.1 × 1020 for 2% SnTi[194]
2020Leti6.5B: 5.2 × 1019
P: 2.2 × 1020
——[195]
2020National Taiwan University4.7——B: 1.9 × 1020Ti[196]
2021National Taiwan University9——P:1.3 × 1020Ni[197]
Table 3. Summary of reported B-doped GeSn, P-doped GeSn, and As-doped GeSn in terms of institution, Sn content, doping type, doping concentration, and contact metal.
Table 3. Summary of reported B-doped GeSn, P-doped GeSn, and As-doped GeSn in terms of institution, Sn content, doping type, doping concentration, and contact metal.
YearInstitutionSn Composition (%)N-TypeP-TypeDoping Concentration (cm−3)Contact MetalContact Resistivity (Ω·cm2)Ref.
2014Institute of Microelectronics, Chinese Academy of Sciences 4——————Ni——[187]
20182020National Taiwan University9——P:1.3 × 1020Ni1.5 × 10–7[191,192,194,196,197]
2, 4.7, and 13——B: 2.1 × 1020 for 2% SnTi4.1 × 10–10 for 2% Sn
4.7——B: 1.9 × 1020Ti1.1 × 10–9
>12——B: >1 × 1021Ti4.1 × 10–10
10——P: 1.3 × 1020Ni1.1 × 10–7
9B: 4 × 1017
P: ——
Ni3.8 × 10–8
2020Leti6.5B: 5.2 × 1019
P: 2.2 × 1020
————[195]
2020Université de Montréal11B: × 1019
As: × 1020
————[198]
2019University College Cork8——————Ti, Ni, and Pt——[199]
20132019NUS5, 7, and 8——Ga: 3.4 × 1020Ti4.4 × 10−10 for 7% Sn[165,166,167,168,169,170,171,172,173,200,201,202,203]
8.5——Ga: 3.2 × 1020————
5——Ga: ——Ti9.3 × 10−10
5——Ga: ——Ni2 × 10−10
5——Ga: 1.6 × 1020Ni1.4 × 10−9
2.4——P: 2.1 × 1019Al4 × 10–3
2012NUS and CAS-IOS5.3——BF2+: 5.7 × 1020Ni1.6 × 10–5[204]
2015
2020
CAS-IOS7——Sb: 5 × 1020Ni1.3 × 10–6[179,182,183,205,206]
8——Sb: 3 × 1019Ni/Al6.2 × 10–5
7——Sb: 5 × 1019Ni1.3 × 10–6
3.2——P: 7.64 × 1019Ni/Al2.26 × 10–4
7——P: 2.44 × 1019Ni/Al1.9 × 10–6

2.4.3. GeSn Ohmic Contact

Among the summarized GeSn contact works is that of Henry. H. Radamson et al., who proposed a novel method to improve the thermal stability of the Ni–GeSn contact. It is well-known that carbon stabilize NiSiGe materials, so after GeSn growth, they implanted C into GeSn. In Figure 15, we can see that the NiGeSn film with C was more uniform than the NiGeSn film without C. Characterization results indicated that the presence of C not only led to the improved thermal stability but also tended to change the preferred orientation of NiGeSn [187]. A comparison work with different contact metals (10 nm of Ni, Ti, and Pt) [199] showed that Ni–GeSn was the most promising candidate due to its low sheet resistance and low formation temperature (below 400 °C). Moreover, Pt–GeSn showed better behavior in terms of thermal stability compared to Ni–GeSn and Ti–GeSn. Because Sn loss occurs during B-doped GeSn CVD growth, it is still challenging to create low contact resistivity p-type GeSn contacts with high Sn contents, a challenge that is particularly critical for GeSn lasers and GeSn TFETs [207,208].

3. Research Progress for GeSn Detectors

3.1. GeSn Photoconductive Detector

Photoconductive detector, which can also be defined as metal–semiconductor–metal (MSM) detector, is regarded as the simplest structure to achieve detection. In this type of structure, two Schottky junctions are designed and the total layer structure does not require any doping. Therefore, it can only work at a high bias voltage due to the existence of high contact resistance. However, the capacitance of a photoconductive detector is quite low, which is helpful for high-speed detection. Based on the photoconductive structure, researchers have put great effort into GeSn photoconductive detectors (Figure 16). Table 4 shows the reported performance levels of GeSn photoconductive detectors grown by CVD technology.
As previously reported, IMEC mastered low-cost and commercially available cutting-edge GeSn growth technology in 2011 (Ge2H6/GeH4 precursor combination) [151]. Subsequently, they further grew a GeSn/Ge MQWs structure, and they also fabricated a photoconductive detector [58]. In 2014, Benjamin, R. Conley et al. reported the temperature-dependent spectral responses and detectivity of GeSn photoconductors with Sn contents ranging from 0.9 to 7% [59]. For a GeSn photoconductor with 7.0% Sn, a maximum wavelength response of 2100 nm was achieved. Experimental results showed that low-temperature responsivity was two orders of magnitude higher than room-temperature responsivity at 1550 nm, and the maximum specific detectivity was 1 × 109 cm·Hz1/2/W at 77 K. In the same year, Benjamin, R. Conley et al. further extended the spectral response using a GeSn layer with 10% Sn [60]. The room-and low-temperature (77 K) wavelength cutoffs for the GeSn detector were found to be 2400 and 2200 nm, respectively. Maximum peak responsivity was observed as 1.63 A/W at 77 K due to photoconductive gain. More importantly, the specific detectivity was increased by about five times compared to the previously reported result (a GeSn photoconductor with 7.0% Sn), indicating that the material quality of the GeSn layer with 10% Sn was greatly improved (Figure 17).
In 2019, Huong Tran et al. reported a GeSn photoconductor with high Sn contents (the maximum Sn contents of the top GeSn layer were 12.5%, 15.9%, 15.7%, 17.9%, 20%, and 22.3%) [63]. As the Sn content increased, the cutoff wavelength shifted toward longer wavelength due to the bandgap shrinkage. From 77 to 300 K, the cutoff wavelengths were 3200–3650 nm for the GeSn photoconductor with 22.3% Sn. It is worth noting that this D* value was superior to that of a PbSe detector at the given wavelength range and was comparable to that of a commercial extended-InGaAs detector (4 × 1010 cm·Hz1/2·W−1) at the same wavelength range (Figure 18). Even at 300 K, the passivated device showed better results D* than the PbSe detector from 1500 to 2200 nm.
To enable a comprehensive overview of the use of GeSn photoconductive materials for infrared detection applications, Figure 19 illustrates the Sn content vs. cutoff wavelength for reported GeSn photoconductive detectors. For GeSn with an Sn incorporation of 0.9–12.5%, the photoconductive detector wavelength coverage was found to range from 1800 to 2950 nm, indicating that GeSn with Sn contents of up to 12.5% or 13% is very promising for SWIR applications. For GeSn with an Sn incorporation of 15.9–22.3%, the photoconductive detector wavelength coverage was found to range from 3200 to 3650 nm, suggesting potential mid wavelength infrared (MWIR) applications. For wavelengths from 3650 to 5000 nm, no detectors have been reported. However, GeSn photoconductive detector performance is limited by current growth technology and Sn distribution uniformity in total layer structures, which causes a low responsivity (the responsivity values are listed in the table above).

3.2. GeSn PIN Detector

The PIN detector is the most common and widely used detector type for Si-based optoelectronics applications. One side of a PIN detector device is for p-type doping, and the other side is for n-type doping; as such, the built-in electric field is able to locate the intrinsic region [18]. A typical cross-sectional schematic diagram of a GeSn PIN detector is shown in Figure 20, and the major device performance values for reported GeSn PIN detectors are summarized in Table 5.
In 2009, Jay Mathews et al. demonstrated the first GeSn photodetector with 2% Sn content; 350 nm Ge0.98Sn0.02 was directly grown on a B-doped Si (100) substrate in an UHVCVD system (the carrier concentration in the Si wafer was 4.3 × 1019 cm−3) [57]. Three cycles of post-growth annealing were carried out to decrease the TDDs in Ge0.98Sn0.02. Afterwards, n-doped Ge0.98Sn0.02 was further deposited, and its carrier concentration was found to be approximately 7.5 × 1019 cm−3. Using the abovementioned layer structure, a circular GeSn photodetector was fabricated. To evaluate the quantum efficiency of the Ge0.98Sn0.02 photodetector, the circular mesa was continuously illuminated via a halogen source and 1270, 1300, 1550, and 1620 nm lasers. The Ge0.98Sn0.02 detector quantum efficiencies were higher than those in comparable pure Ge device designs processed at low temperatures (Figure 21). Additionally, the wavelength cutoff was extended to at least 1750 nm, which means that a GeSn photodetector with 2% Sn content can cover the entire telecommunication band.
In 2018, Huong Tran et al. fabricated GeSn photodetectors with 700 nm thick GeSn layers using the p–Ge/p–Ge0.91Sn0.09/i–Ge0.89Sn0.11/n–Ge0.89Sn0.11/n–Ge layer structure (all layers were grown by RPCVD) [65]. In order to obtain detailed and accurate external reading of quantum efficiency, all GeSn photodetectors were illuminated with a 2000 nm laser. Room-temperature peak responsivity and external quantum efficiency were measured to be 0.32 A/W at 2000 nm and 20%, respectively. When the GeSn detector was illuminated by a 1550 nm laser, its external quantum efficiency reached up to 22%. Different from the previously reported thin film photoconductor, the thick film photoconductor showed an extended wavelength cutoff (2650 nm) due to the reduced strain relaxation and enhanced light absorption in the thick GeSn film. Nevertheless, the peak specific detectivity for the GeSn detector was compared to other commercial infrared detectors at a wavelength range from 1400 to 3000 nm, which showed that peak specific detectivity of the GeSn detector at 2000 nm was only one order of magnitude lower than that of the extended-InGaAs detector (Figure 22). To improve device performance, Xu S, et al. attempted to create a GeSn/Ge MQW detector [67,68], a GeSnOI detector [69], and a photon-trapping microstructure GeSn/Ge MQW detector [209].
Figure 23 summarize the Sn content vs. cut-off wavelength for a reported GeSn PIN detector. For GeSn with an Sn incorporation of 2–11%, the PIN detector wavelength coverage was found to range from 1750 to 2650 nm, indicating that a GeSn PIN detector is very promising for SWIR applications. Due to the limitations of growth technology, PIN detectors at wavelengths from 2650 to 5000 nm have yet to be reported.

4. Research Progress for GeSn Lasers

Since Si-based high-efficiency light sources comprise the technical bottleneck for Si-based monolithic optoelectronic integration, researchers have conducted extensive research into Ge and GeSn lasers. Ten years ago, the rapid development of the GeSn CVD growth technique enabled researchers from MIT to demonstrate optically injected and electrically injected Ge lasers at room temperature. The lasing thresholds of these laser devices were very high, which made it difficult to achieve efficient lasing. As a result, more attentions has been paid to the GeSn material due to its direct bandgap property. In this section, we review the latest research on GeSn lasers with different optical cavities, as well as their device performance.

4.1. Optically Injected GeSn Lasers

4.1.1. Optically Injected GeSn Laser with FP Cavity

Based on the GeSn optical gain medium, the world’s first optically injected FP cavity GeSn laser was demonstrated at a low temperature [70]. The typical threshold power densities of FP cavity GeSn lasers with cavity lengths of 1 mm, 500 μm, and 250 μm were maintained between 300 and 330 kW/cm2 (Figure 24a). When the optically injected power density was above its threshold power density, the full width half maximum of the optical emission spectrum was dramatically reduced and the intensity was significantly increased; when the optical injection power density increased to 650 kW/cm2, the threshold curve tended to be flat (possibly due to a self-heating effect) (Figure 24a). When the optically injected power density increased to 1000 kW/cm2, the maximum lasing temperature for the GeSn laser with 12% Sn content was 90 K. Figure 24b shows high-resolution laser spectra that indicate the performance of a GeSn laser under multi-mode operation.
In 2017, Joe Margetis et al. systematically studied the performance of optically injected GeSn lasers with different Sn contents [73]; the Sn contents of samples A–G were 7.3%, 9.9%, 11.4%, 14.4%, 15.9%, 16.6%, and 17.5%, respectively, and the maximum operation temperatures of samples A–G were 77, 110, 140, 160, 77, 140, and 180 K, respectively (Figure 25). Except for sample A (lower Sn content) and sample E (poor material quality), the samples could be lased at 140 K. It is worth noting that the maximum operation temperature of samples D and G were 160 and 180 K, respectively. The results showed that the operating temperature of the optically injected GeSn laser was closely related to the Sn content of GeSn, and the GeSn lasers with higher Sn contents possessed higher operating temperature (except for sample F because of its poor material quality). Therefore, increasing the Sn content in GeSn can effectively increase the operating temperature of the laser device. From the theoretical point of view, the main factors that affect the performance of laser devices are material gain, active layer thickness, device surface roughness, and non-radiative recombination. Therefore, there are differences in the operating temperatures of GeSn laser devices with different Sn contents.
Thanks to the discovery of the GeSn strain-relaxation-enhanced growth mechanism [88], researchers were able to increase the Sn content of GeSn to 22.3%. In this layer structure, the GeSn buffer layer is grown with a nominal recipe for 11% GeSn. When the thickness of the 11% GeSn layer reaches its critical thickness, internal strain in the GeSn layer gradually relaxes and more Sn atoms can be incorporated into the Ge lattice. Experimental results showed that the strain relaxation growth mechanism could lead to high-Sn-content GeSn alloys (higher than 22.3%). Later, Wei Dou et al. reported an optically injected bulk GeSn laser with an Sn content of up to 22.3% [75]; both 1064 and 1950 nm pulsed lasers were used for optical injection, and the maximum operating temperatures were 150 and 180 K, respectively (Figure 26).
In 2019, Yiyin Zhou et al. researched optically injected GeSn lasers (an Sn content of 20%) with different waveguide widths [76]; 1064 and 1950 nm lasers were used for pulsed optical injection characterization (Figure 27). They concluded that the operation temperature for sample A was lower than those of the other samples (the laser operation temperatures under 1064 and 1950 nm pulsed injection were 120 and 140 K, respectively). Moreover, the threshold for sample A was relatively larger than those of the other samples (at 77 K, the thresholds under 1064 and 1950 nm optical pulsed injection were 516 and 132 kW/cm2, respectively). When the sample width was wider than 20 μm, the operation temperatures of the laser devices could be increased to 260 and 270 K under 1064 and 1950 nm optical pulsed injection, respectively. The reasons for this are as follows: (i) compared with the side wall surface recombination, free carrier absorption loss and non-radiative recombination were the dominant losses at higher temperatures; (ii) the stripe-shaped optical injection light beam had a Gaussian distribution, which may have resulted in absorption occurring in the middle of a wider waveguide (less absorption at the edge of the waveguide); and (iii) the optical confinement factor for sample D was lower, which led to a higher threshold.
The simplest optical cavity is that of Fabry–Pérot, which consists of two parallel reflecting surfaces that allow coherent light to travel through the whole cavity. Due to the directness difference between GeSn alloys with different contents, we summarize the reported operation temperatures for GeSn with different Sn contents in Figure 28. Operation temperatures were found to increase with more Sn incorporation, indicating that operation temperature is closely related to the directness of GeSn. Different from narrow bulk devices, broad bulk devices (with a cavity width greater than 20 μm) possess higher operation temperatures, possibly due to the following two reasons: (1) they have higher optical gains, and (2) they are wider and thus have higher optical injection efficiencies. However, the operation temperature for a GeSn laser with 22.3% Sn incorporation was found to be the same as that of a GeSn laser with 17.5% Sn incorporation, which means that there were many point defects in the high-Sn-content GeSn layer. For clarification, we also summarize the devices performance for the published FP cavity optically pumped GeSn laser (Table 6).

4.1.2. Optically Injected GeSn Laser with WGM Cavity

In 2016, Daniela Stange et al. realized a self-suspending microdisk GeSn laser for the first time [74] (Figure 29). The laser spectrum is shown in Figure 30. It can be seen in the figure that the maximum working temperatures of samples A and B were 80 and 140 K, respectively. Compared with sample B, the lasing spectrum of sample A was blue-shifted due to its higher content. Although the operation temperature for sample A was lower than that of sample B, the threshold for sample A was lower than that of sample B (the thresholds of samples A and B were 125 and 220 kW/cm2 at 50 K, respectively).
In 2020, Anas Elbaz et al. reported a CW optically injected GeSn microdisk laser with a low Sn content for the first time [80]. Compared with high-Sn-content GeSn, low-Sn-content GeSn has fewer internal point defects and better material quality. After its growth, a low-Sn-content GeSn layer was transferred to an Si substrate with SiN and Al layers. Then, the Si substrate, Ge buffer layer, and defective GeSn layers are removed; only 40 nm, high-quality, low-Sn-content GeSn was left. Finally, the transferred GeSn layer was patterned into independent GeSn/SiN microdisks supported by Al microdisk pillars (Figure 31). The lasing spectrum in Figure 31 shows the continuous wave light injection laser spectrum of a GeSn microdisk with a diameter of 7 μm at 25 K: below the threshold, a light emission spectrum with a wide half-width (red line) was obtained under an optical injection power of 0.5 mW; above the threshold, lasing emission characteristics were obvious under the optical injection power of 6.4 mW. Under the pulsed optical injection and CW light injection, the maximum operating temperatures of the laser device were 90 and 50 K, respectively.
In 2020, Anas Elbaz et al. created an optically injected GeSn microdisk laser after proper defect management [81,82], indicating that the threshold was greatly reduced compared to that of a GeSn microdisk laser without defect management (the lasing threshold reduction was 1 order of magnitude higher compared to examples in the literature). They also found that the maximum lasing temperature for the optically injected GeSn microdisk laser, with Sn contents ranging from 7% to 10.5%, only weakly depended on Sn content. Apart from the directness of the GeSn active region, the experimental results indicated that nonradiative recombinations and point defects are the main obstacles for high-temperature lasing (Figure 32).
The abovementioned GeSn microdisk laser results show that both pulsed and CW injection have been achieved (Table 7). Especially for CW lasing, this is the most direct evidence to verify that GeSn can withstand a CW injection test. To gain a better understanding of GeSn microdisk lasers, we summarize the operation temperatures for GeSn lasers with different Sn contents in Figure 33. For the pulsed injection, the operation temperature for the GeSn microdisk laser followed a similar trend to that of an FP cavity GeSn laser (the operation temperature increased with Sn content). However, the operation temperature for the heterostructure and quantum well GeSn laser was lower than that of bulk laser, suggesting that there is still room to improve the operation temperatures of heterostructure and quantum well lasers. For CW injection, it seems that operation temperature enhancement is not that sensitive to Sn content, though it brings efficient heat dissipation.

4.1.3. Optically Injected GeSn Laser with Other Microcavities

In addition to those on FP cavity and microdisk cavity GeSn lasers, there have been publications on hexagonal photonic crystal (PC) and micro-bridge GeSn lasers. In 2018, Q.M. Thai et al. reported optically injected GeSn laser with 16% Sn content for the first time [72]. By introducing defects in the photonic crystal defect cavity (such as removing the central hole), the periodic structure around the photonic band gap were able to provide optical feedback to the microcavity. The experimental results showed that the maximum working temperature of the hexagonal photonic crystal GeSn laser was 60 K, and the threshold values at 15 and 60 K were 227 and 340 kW/cm2, respectively (Figure 34).
In 2019, Jerémie Chrétien et al. explored a novel approach to create a direct bandgap GeSn material via strain redistribution, thereby controlling band structure and lasing wavelength [77]. Tensile-strained GeSn micro-bridge heterostructures were optically injected using pulsed 1064 and 2650 nm lasers (Figure 35), and the maximum operation temperature for the L = 75 μm micro-bridge structure laser was 273 K, which indicates that the operation temperature was very close to room temperature.

4.2. Electrically Injected GeSn Lasers

Different from optically injected GeSn lasers, electrically injected GeSn lasers are more suitable for practical applications. However, electrically injected GeSn lasers are more challenging to create due to the GeSn active gain medium having to overcome the extra metal absorption loss and more free carrier absorption (FCA) losses. Theoretical predication for the realm of possibility of electrically injected GeSn/SiGeSn lasers can be traced back to ten years ago, when Greg Sun et al. presented modelling and simulation results for an electrically injected SiGeSn/GeSn/SiGeSn double heterostructure laser with an Sn contents ranging from 6 to 12% [210]; they found that this type of laser requires cooling in the temperature range of 100–200 K after taking radiative, nonradiative, and Auger recombinations into consideration. Afterwards, Greg Sun et al. theoretically proposed that the lattice matched that of an Si0.1Ge0.75Sn0.15/Ge0.9Sn0.1/Si0.1Ge0.75Sn0.15 MQW laser [211], and they found that modal gain was very sensitive to the QW number in the active region and SiGeSn/GeSn/SiGeSn MQW could operate up to room temperature with a 2300 nm emission wavelength. For the SiGeSn/GeSn/SiGeSn MQW laser with 20 QWs, the optical confinement factor was calculated to be 0.74, and the modal gain was able to exceed 100/cm at a pumping current density of 3 kA/cm2, which was sufficient to attain room-temperature lasing.
In 2020, Yiyin Zhou et al. reported the first electrically injected FP cavity GeSn/SiGeSn laser on Si with a lasing temperature of up to 100 K; its minimum threshold was approximately 598 A/cm2 [89,90] (Figure 36). This work was regarded as an essential achievement for Si-based on-chip light source in the development of Si-based OEICs. Later, the effects of cap layer, cap layer thickness, and Sn content in the active region on the operating temperature, threshold, and emission wavelength were further systematically studied [89,90]. Experimental results showed that: (I) an SiGeSn cap had a better optical confinement effect than a GeSn cap; (II) the optical confinement factor was improved via changing the SiGeSn cap layer thickness; and (III) the use of a GeSn laser with an Sn content of up to 15% did not significantly improve device performance.

5. GeSn Transistors

In addition to the rapid advancement of GeSn detectors and GeSn lasers grown by CVD technology, there have been some achievements in the field of GeSn transistors due to their mobility properties. In the hyper-scaling era, the quest for high-performance and low-power transistors is continuing and intensifying. One of the key technology enablers of these goals is that of channel materials with high carrier mobility and direct band gap structures [212,213]. GeSn films have emerged as the most promising candidate for next generation nano-electronic devices of computing due to their excellent properties, including ultrahigh hole mobility, band structures with direct and low band gaps, Si-based CMOS compatibility, and low thermal budget, all of which are of great importance for ultrahigh density devices and 3D integration in the hyper-scaling era. Anisotropy at the top of the GeSn valence band makes the effective mass of light hole rapidly decrease with increases of Sn content and the transport capacity rapidly increase. GeSn is a very promising channel material for the next generation pMOSFET, and its hole mobility is even higher than that of Ge. The hole mobility of Ge pMOSFET is increased by more than 10 times with respect to Si devices. In addition, compressive strain can improve the mobility of a GeSn channel by decreasing the effective mass of the hole carrier. GeSn is generally grown on Si substrates using Ge as the buffer layer, and GeSn subjects the Ge buffer layer to compressive strain since the Sn lattice constant is greater than that of Ge. As GeSn materials are compatible with Si-CMOS technology, a few research groups have studied GeSn-based transistors (Table 8 lists the reported transistors with CVD-grown GeSn layers).
Tunnel-field-effect transistors (TFETs) features subthreshold swings (SS) below 60 mV/decade at room temperature, which also enable a decreased power supply without discounting the off-current. Although Si-TFETs have been reported with SS below 60 mV/decade at low current, band-to-band tunneling (BTBT) is limited by its indirect bandgap property and low SS at high current. Therefore, researchers have investigated GeSn with high Sn contents (12% and 15% Sn incorporation; Figure 37) to create high-performance GeSn TFETs [217]. A higher Sn content enhances device performance, but the subthreshold swing is affected by the increased leakage level. For ultrasmall supply voltages, the device structure should be optimized to improve device characteristics. Using Ge/GeSn heterostructure pTFETs led to the improvements of the BTBT rate. Thus, higher on-current and lower off-current were achieved simultaneously. Christian et al. reported the fabrication and characterization of Ge/GeSn pTFETs (Figure 38), and they recorded a low accumulation capacitance of 3 μF/cm2 [99]. Moreover, their room-temperature (RT) current–voltage characteristics showed that the Ge/GeSn pTFETs with the 11% Sn content had the highest BTBT current (Figure 39).
To suppress the short channel effects (SCEs) of multi-gate transistors, Dianlei et al. investigated the p-FinFETs with a CVD-grown GeSn channel [93]. For GeSn p-FinFETs grown on GeSnOI substrates with 8% Sn incorporation (Figure 40), compressive strain and hole mobility were found to be −0.9% and 208 cm2/V·s, respectively. Record low SS of 79 mV/decade for GeSn p-FETs were also achieved.
Compared with FinFETs, gate-all-around (GAA) FETs hold better electrostatic control, which can reduce the SCEs for the gate-length scaling. With down-scaling came the proposition of a vertically stacked Si channel for GAAFETs in order to improve drive current [218,219]. Yu-Shiang Huang et al. systematically investigated the strain response, LF noise, and temperature-dependence properties of vertically stacked GeSn nanowire pGAAFETs [214] (Figure 41). Their experimental results showed that: (I) Ion = 1850 μA/μm was improved with higher Sn incorporation; (II) the 6.3% extra enhancement of Ion was observed due to the uniaxial compressive strain that occurred when using wafer bending; and (III) the SS for one-nanowire and stacked two-nanowire GAAFETs were 84 and 88 mV/dec, respectively. To further improve the drive current for GAAFETs at a given footprint (Figure 42), vertically stacked 3-GeSn nanosheet pGAAFETs were studied and the Ion was increased 1975 μA/μm at VDS = −1 V.
Furthermore, a top–down approach was utilized to fabricate vertical heterojunction GeSn/Ge GAA nanowire pMOSFETs (Figure 43); with proper optimization, a record high Ion/Ioff (3 × 106) was achieved [216].
Similar to the n–Ge material, n–GeSn suffers from a large resistance in metal-n–GeSn contacts mainly due to a strong Fermi pinning effect. To improve the performance of GeSn n-FETs, Yen Chuang et al. researched GeSn n-FinFETs and n-Channel MOSFETs: n+–GeSn contact; in situ doped n+–GeSn was grown by CVD, and Ni was employed as the contact metal [94]. With the increasing Sn content and n-type doping level, contact resistivity reduced to 3.8 × 10−8 Ω/cm2, which may be attributed to the bandgap shrinkage of GeSn (8% Sn incorporation). With the optimized n+–GeSn contact, the highest drive current and best SS for GeSn n-FinFETs were 108 A/m and 138 mV/dec, respectively (8% Sn incorporation) [91]. To suppress the dopant diffusion for S/D carrier activation, microwave annealing (MWA) was proposed. For GeSn with 4.5% Sn incorporation, GeSn nMOSFETs were found to possess an electron mobility of 440 cm2/V·s, suggesting that CVD-grown GeSn and MWA technologies are very promising for GeSn CMOS applications. For higher electron mobility, a 0.46% tensile strain was introduced to Ge0.96Sn0.04; due to the introducing of tensile strain, the carrier population in the Γ valley was higher. Thus, the electron mobility of GeSn nMOSFETs was further improved to 698 cm2/V·s [215].
This discussion shows that pTFETs, pFin-FETs, pMOSFETs, nMOSFETs, and vertically stacked nanowire pGAAFETs with CVD-grown GeSn layers have been extensively studied; breaking the bottleneck the n-doped or p-doped GeSn CVD growth technology is one of the main routes forward for high-performance GeSn transistors. Uniformly stacked nanowires or nanosheets with low surface roughness are of great importance for 5 nm CMOS technology nodes and beyond. More importantly, It should be noted that Henry. H. Radamson et al. explored Ni–(GeSn)x contact formation [220]; the strain dependence, phase formation, and thermal stability of Ni–(GeSn)x were systematically investigated, and they found that an Sn-rich surface impeded the diffusion of Ni, thus paving the way for the optimization of high-performance nanowire pGAAFETs.

6. Conclusions and Outlooks

In summary, the challenges and progress of GeSn CVD growth technology (including in situ doping technology and ohmic contact formation), GeSn lasers, GeSn detectors, and GeSn transistors were reviewed. Due to growth difficulties, such as the large lattice mismatch between GeSn and Si, the low solubility between Ge and Sn, and phase changes for Sn, more effort must be made in improving the quality of high-Sn-content GeSn materials, GeSn/SiGeSn heterostructures, and GeSn/SiGeSn QWs for high-performance electronic and optoelectronic devices, especially GeSn lasers and GeSn TFETs. Sn distribution uniformity and sharp GeSn/SiGeSn interfaces are the key issues in the development of room temperature, CW electrically pumped GeSn lasers. In addition, research on novel Si-based group IV materials, such as CSiGeSn and CSiGe [221,222,223], may pave the way for better strain compensation and lattice-mismatched laser structures.

Author Contributions

Conceptualization, Y.M., G.W. and H.H.R.; literature survey, Y.M., G.W., Z.K., X.Z., B.X., X.L., H.L., Y.D., B.L. and J.L.; formal analysis, Y.M., G.W., H.H.R., L.D. and J.Z.; project administration, H.H.R.; supervision, G.W. and H.H.R.; writing—original draft preparation, Y.M.; writing—review and editing, Y.M. and H.H.R. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by the construction of a high-level innovation research institute from the Guangdong Greater Bay Area Institute of Integrated Circuit and System (Grant No. 2019B090909006) and the construction of new research and development institutions (Grant No. 2019B090904015), in part by the National Key Research and Development Program of China (Grant No. 2016YFA0301701), the Youth Innovation Promotion Association of CAS (Grant No. Y2020037), and the National Natural Science Foundation of China (Grant No. 92064002).

Data Availability Statement

The data presented in this study are available on request from the corresponding authors.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Soref, R. The past, present, and future of silicon photonics. IEEE J. Sel. Top. Quantum Electron. 2006, 12, 1678–1687. [Google Scholar] [CrossRef]
  2. Soref, R. Silicon photonics: A review of recent literature. Silicon 2010, 2, 1–6. [Google Scholar] [CrossRef]
  3. Paul, D.J. Silicon photonics: A bright future? Electron. Lett. 2009, 45, 582–584. [Google Scholar] [CrossRef]
  4. Soref, R.A. Silicon-based optoelectronics. Proc. IEEE 1993, 81, 1687–1706. [Google Scholar] [CrossRef]
  5. Soref, R.; Buca, D.; Yu, S.Q. Group IV photonics: Driving integrated optoelectronics. Opt. Photonics News 2016, 27, 32–39. [Google Scholar] [CrossRef]
  6. Radamson, H.; Thylén, L. Monolithic Nanoscale Photonics-Electronics Integration in Silicon and Other Group IV Elements; Academic Press: Cambridge, MA, USA, 2014. [Google Scholar]
  7. Geiger, R.; Zabel, T.; Sigg, H. Group IV direct band gap photonics: Methods, challenges, and opportunities. Front. Mater. 2015, 2, 52. [Google Scholar] [CrossRef] [Green Version]
  8. Zhang, L.; Hong, H.; Li, C.; Chen, S.; Huang, W.; Wang, J.; Wang, H. High-Sn fraction GeSn quantum dots for Si-based light source at 1.55 μm. Appl. Phys. Express 2019, 12, 055504. [Google Scholar] [CrossRef]
  9. Wang, T.; Wei, W.; Feng, Q.; Wang, Z.; Zhang, J. Telecom InAs quantum-dot FP and microdisk lasers epitaxially grown on (111)-faceted SOI. In Proceedings of the 2020 Conference on Lasers and Electro-Optics (CLEO), Washington, DC, USA, 10–15 May 2020; pp. 1–2. [Google Scholar]
  10. Wei, W.; Feng, Q.; Wang, Z.; Wang, T.; Zhang, J. Perspective: Optically-pumped III–V quantum dot microcavity lasers via CMOS compatible patterned Si (001) substrates. J. Semicond. 2019, 40, 101303. [Google Scholar] [CrossRef]
  11. Liu, W.K.; Lubyshev, D.; Fastenau, J.M.; Wu, Y.; Bulsara, M.T.; Fitzgerald, E.A.; Urteaga, M.; Ha, W.; Bergman, J.; Brar, B.; et al. Monolithic integration of InP-based transistors on Si substrates using MBE. J. Cryst. Growth 2009, 311, 1979–1983. [Google Scholar] [CrossRef]
  12. Liow, T.Y.; Ang, K.W.; Fang, Q.; Song, J.F.; Xiong, Y.Z.; Yu, M.B.; Guo, Q.; Kwong, D.L. Silicon modulators and germanium photodetectors on SOI: Monolithic integration, compatibility, and performance optimization. IEEE J. Sel. Top. Quantum Electron. 2009, 16, 307–315. [Google Scholar] [CrossRef]
  13. Saito, S.; Al-Attili, A.Z.; Oda, K.; Ishikawa, Y. Towards monolithic integration of germanium light sources on silicon chips. Semicond. Sci. Technol. 2016, 31, 043002. [Google Scholar] [CrossRef]
  14. Yu, H.Y.; Ren, S.; Jung, W.S.; Okyay, A.K.; Miller, D.A.; Saraswat, K.C. High-efficiency pin photodetectors on selective-area-grown Ge for monolithic integration. IEEE Electron. Device Lett. 2009, 30, 1161–1163. [Google Scholar]
  15. González-Fernández, A.A.; Juvert, J.; Aceves-Mijares, M.; Domínguez, C. Monolithic integration of a silicon-based photonic transceiver in a CMOS process. IEEE Photonics J. 2015, 8, 1–13. [Google Scholar] [CrossRef]
  16. Li, B.; Li, G.; Liu, E.; Jiang, Z.; Pei, C.; Wang, X. 1.55 μm reflection-type optical waveguide switch based on SiGe/Si plasma dispersion effect. Appl. Phys. Lett. 1999, 75, 1–3. [Google Scholar] [CrossRef]
  17. Zhao, D.; Shi, B.; Jiang, Z.; Fan, Y.; Wang, X. Silicon-based optical waveguide polarizer using photonic band gap. Appl. Phys. Lett. 2002, 81, 409–411. [Google Scholar] [CrossRef]
  18. Zhao, X.; Wang, G.; Lin, H.; Du, Y.; Luo, X.; Kong, Z.; Su, J.; Li, J.; Xiong, W.; Miao, Y.; et al. High performance pin photodetectors on Ge-on-insulator platform. Nanomaterials 2021, 11, 1125. [Google Scholar] [CrossRef]
  19. Michel, J.; Liu, J.; Kimerling, L.C. High-performance Ge-on-Si photodetectors. Nat. Photonics 2010, 4, 527–534. [Google Scholar] [CrossRef]
  20. Lin, T.Y.; Lin, K.T.; Lin, C.C.; Lee, Y.W.; Shiu, L.T.; Chen, W.Y.; Chen, H.L. Magnetic fields affect hot electrons in silicon-based photodetectors at telecommunication wavelengths. Mater. Horiz. 2019, 6, 1156–1168. [Google Scholar] [CrossRef]
  21. Marris-Morini, D.; Vivien, L.; Rasigade, G.; Fedeli, J.M.; Cassan, E.; Le Roux, X.; Laval, S. Recent progress in high-speed silicon-based optical modulators. Proc. IEEE 2009, 97, 1199–1215. [Google Scholar] [CrossRef]
  22. Reed, G.T.; Png, C.E.J. Silicon optical modulators. Mater. Today 2005, 8, 40–50. [Google Scholar] [CrossRef]
  23. Reed, G.T.; Mashanovich, G.; Gardes, F.Y.; Thomson, D.J. Silicon optical modulators. Nat. Photonics 2010, 4, 518–526. [Google Scholar] [CrossRef] [Green Version]
  24. Haché, A.; Bourgeois, M. Ultrafast all-optical switching in a silicon-based photonic crystal. Appl. Phys. Lett. 2000, 77, 4089–4091. [Google Scholar] [CrossRef]
  25. Juan, W.H.; Pang, S.W. High-aspect-ratio Si vertical micromirror arrays for optical switching. J. Microelectromech. Syst. 1998, 7, 207–213. [Google Scholar] [CrossRef]
  26. Fadaly, E.M.; Dijkstra, A.; Suckert, J.R.; Ziss, D.; van Tilburg, M.A.; Mao, C.; Ren, Y.; Lange, V.; Korzun, K.; Bakkers, E.P.; et al. Direct-bandgap emission from hexagonal Ge and SiGe alloys. Nature 2020, 580, 205–209. [Google Scholar] [CrossRef] [Green Version]
  27. Sukhdeo, D.S.; Nam, D.; Kang, J.H.; Brongersma, M.L.; Saraswat, K.C. Direct bandgap germanium-on-silicon inferred from 5.7% 〈 100〉 uniaxial tensile strain. Photonics Res. 2014, 2, A8–A13. [Google Scholar] [CrossRef]
  28. Sun, X.; Liu, J.; Kimerling, L.C.; Michel, J. Room-temperature direct bandgap electroluminesence from Ge-on-Si light-emitting diodes. Opt. Lett. 2009, 34, 1198–1200. [Google Scholar] [CrossRef] [Green Version]
  29. Michel, J.; Camacho-Aguilera, R.E.; Cai, Y.; Patel, N.; Bessette, J.T.; Romagnoli, M.; Kimerling, L.C. An Electrically Pumped Ge-on-Si Laser; OFC/NFOEC; IEEE: New York, NY, USA, 2012; pp. 1–3. [Google Scholar]
  30. Camacho-Aguilera, R.E.; Cai, Y.; Patel, N.; Bessette, J.T.; Romagnoli, M.; Kimerling, L.C.; Michel, J. An electrically pumped germanium laser. Opt. Express 2012, 20, 11316–11320. [Google Scholar] [CrossRef]
  31. Liu, J.; Sun, X.; Camacho-Aguilera, R.; Cai, Y.; Kimerling, L.C.; Michel, J. Optical Gain and Lasing from Band-Engineered Ge-on-Si at Room Temperature; Institute of Electrical and Electronics Engineers (IEEE): New York, NY, USA, 2010. [Google Scholar]
  32. Koerner, R.; Oehme, M.; Gollhofer, M.; Schmid, M.; Kostecki, K.; Bechler, S.; Widmann, D.; Kasper, E.; Schulze, J. Electrically pumped lasing from Ge Fabry-Perot resonators on Si. Opt. Express 2015, 11, 14815–14822. [Google Scholar] [CrossRef]
  33. Ghetmiri, S.A.; Du, W.; Margetis, J.; Mosleh, A.; Cousar, L.; Conley, B.R.; Domulevicz, L.; Nazzal, A.; Sun, G.; Soref, R.A.; et al. Direct-bandgap GeSn grown on silicon with 2230 nm photoluminescence. Appl. Phys. Lett. 2014, 105, 151109. [Google Scholar] [CrossRef]
  34. Grant, P.C.; Margetis, J.; Zhou, Y.; Dou, W.; Abernathy, G.; Kuchuk, A.; Du, W.; Li, B.L.; Tolle, J.; Yu, S.Q.; et al. Direct bandgap type-I GeSn/GeSn quantum well on a GeSn-and Ge-buffered Si substrate. AIP Adv. 2018, 8, 025104. [Google Scholar] [CrossRef] [Green Version]
  35. Peng, L.; Li, X.; Zheng, J.; Liu, X.; Li, M.; Liu, Z.; Xue, C.; Zuo, Y.; Cheng, B. Room-temperature direct-bandgap electroluminescence from type-I GeSn/SiGeSn multiple quantum wells for 2 μm LEDs. J. Lumin. 2020, 228, 117539. [Google Scholar] [CrossRef]
  36. Grant, P.C.; Margetis, J.; Zhou, Y.; Dou, W.; Abernathy, G.; Kuchuk, A.; Du, W.; Li, B.; Tolle, J.; Liu, J.; et al. Study of direct bandgap type-I GeSn/GeSn double quantum well with improved carrier confinement. Nano. Tech. 2018, 29, 465201. [Google Scholar] [CrossRef] [PubMed]
  37. Von Den Driesch, N.; Stange, D.; Wirths, S.; Mussler, G.; Hollander, B.; Ikonic, Z.; Hartmann, J.M.; Stoica, T.; Mantl, S.; Buca, D.; et al. Direct bandgap group IV epitaxy on Si for laser applications. Chem. Mater. 2015, 27, 4693–4702. [Google Scholar] [CrossRef] [Green Version]
  38. Wang, L.; Zhang, Y.; Wu, Y.; Liu, T.; Miao, Y.; Meng, L.; Jiang, Z.; Hu, H. Effects of Annealing on the Behavior of Sn in GeSn Alloy and GeSn-Based Photodetectors. IEEE Trans. Electron. Devices. 2020, 67, 3229–3234. [Google Scholar] [CrossRef]
  39. Miao, Y.H.; Hu, H.Y.; Song, J.J.; Xuan, R.X.; Zhang, H.M. Effects of rapid thermal annealing on crystallinity and Sn surface segregation of films on Si (100) and Si (111). Chin. Phys. B 2017, 26, 127306. [Google Scholar] [CrossRef]
  40. Li, H.; Cui, Y.X.; Wu, K.Y.; Tseng, W.K.; Cheng, H.H.; Chen, H. Strain relaxation and Sn segregation in GeSn epilayers under thermal treatment. Appl. Phys. Lett. 2013, 102, 251907. [Google Scholar] [CrossRef]
  41. Comrie, C.M.; Mtshali, C.B.; Sechogela, P.T.; Santos, N.M.; van Stiphout, K.; Loo, R.; Wandervorst, W.; Vantomme, A. Interplay between relaxation and Sn segregation during thermal annealing of GeSn strained layers. J. Appl. Phys. 2016, 120, 145303. [Google Scholar] [CrossRef]
  42. Gurdal, O.; Hasan, M.A.; Sardela, M.R., Jr.; Greene, J.E.; Radamson, H.H.; Sundgren, J.E.; Hansson, G.V. Growth of metastable Ge1−x Snx/Ge strained layer superlattices on Ge (001) 2×1 by temperature-modulated molecular beam epitaxy. Appl. Phy. Lett. 1995, 67, 956–958. [Google Scholar] [CrossRef]
  43. Gurdal, O.; Desjardins, P.; Carlsson, J.R.A.; Taylor, N.; Radamson, H.H.; Sundgren, J.E.; Greene, J.E. Low-temperature growth and critical epitaxial thicknesses of fully strained metastable Ge1−xSnx (x ≤ 0.26) alloys on Ge (001) 2 × 1. J. Appl. Phys. 1998, 83, 162–170. [Google Scholar] [CrossRef]
  44. Ni, W.X.; Ekberg, J.O.; Joelsson, K.B.; Radamson, H.H.; Henry, A.; Shen, G.D.; Hansson, G.V. A silicon molecular beam epitaxy system dedicated to device-oriented material research. J. Cryst. Growth 1995, 157, 285–294. [Google Scholar] [CrossRef]
  45. Toko, K.; Oya, N.; Saitoh, N.; Yoshizawa, N.; Suemasu, T. 70 °C synthesis of high-Sn content (25%) GeSn on insulator by Sn-induced crystallization of amorphous Ge. Appl. Phys. Lett. 2015, 106, 082109. [Google Scholar] [CrossRef]
  46. Huo, Y.; Chen, R.; Lin, H.; Kamins, T.I.; Harris, J.S. MBE growth of high Sn-percentage GeSn alloys with a composition-dependent absorption-edge shift. In Proceedings of the 7th IEEE International Conference on Group IV Photonics, Beijing, China, 1–3 September 2010; pp. 344–346. [Google Scholar]
  47. Yang, J.; Hu, H.; Miao, Y.; Dong, L.; Wang, B.; Wang, W.; Su, H.; Xuan, R.; Zhang, H. High-quality GeSn Layer with Sn Composition up to 7% Grown by Low-Temperature Magnetron Sputtering for Optoelectronic Application. Materials 2019, 12, 2662. [Google Scholar] [CrossRef] [Green Version]
  48. Tran, H.; Pham, T.; Margetis, J.; Zhou, Y.; Dou, W.; Grant, P.C.; Alkabi, S.; Du, W.; Sun, G.; Soref, R.; et al. Study of High Performance GeSn Photodetectors with Cutoff Wavelength Up to 3. In 7 μm for Low-Cost Infrared Imaging. In Proceedings of the 2019 Conference on Lasers and Electro-Optics (CLEO), San Jose, CA, USA; 2019; pp. 1–2. [Google Scholar]
  49. Zheng, J.; Liu, Z.; Zhang, Y.; Zuo, Y.; Li, C.; Xue, C.; Cheng, B.; Wang, Q. Growth of high-Sn content (28%) GeSn alloy films by sputtering epitaxy. J. Crys. Growth 2018, 492, 29–34. [Google Scholar] [CrossRef]
  50. Bauer, M.; Taraci, J.; Tolle, J.; Chizmeshya, A.V.G.; Zollner, S.; Smith, D.J.; Menendez, J.; Hu, C.; Kouvetakis, J. Ge–Sn semiconductors for band-gap and lattice engineering. Appl. Phys. Lett. 2002, 81, 2992–2994. [Google Scholar] [CrossRef]
  51. Al-Kabi, S.; Ghetmiri, S.A.; Margetis, J.; Du, W.; Mosleh, A.; Dou, W.; Sun, G.; Soref, R.; Tolle, J.; Yu, S.Q.; et al. Study of High-Quality GeSn Alloys Grown by Chemical Vapor Deposition towards Mid-Infrared Applications. J. Electron. Mater. 2016, 45, 6251–6257. [Google Scholar] [CrossRef]
  52. Al-Kabi, S.; Ghetmiri, S.A.; Margetis, J.; Du, W.; Mosleh, A.; Alher, M.; Dou, W.; Grant, J.; Sun, G.; Yu, S.Q.; et al. Optical characterization of Si-based Ge1−xSnx alloys with Sn compositions up to 12%. J. Electron. Mater. 2016, 45, 2133–2141. [Google Scholar] [CrossRef]
  53. Dou, W.; Ghetmiri, S.A.; Al-Kabi, S.; Mosleh, A.; Zhou, Y.; Alharthi, B.; Alharthi, B.; Du, W.; Margetis, J.; Yu, S.Q.; et al. Structural and optical characteristics of GeSn quantum wells for silicon-based mid-infrared optoelectronic applications. J. Electron. Mater. 2016, 45, 6265–6272. [Google Scholar] [CrossRef]
  54. Du, W.; Ghetmiri, S.A.; Margetis, J.; Al-Kabi, S.; Zhou, Y.; Liu, J.; Sun, G.; Soref, R.; Tolle, J.; Li, B.; et al. Investigation of optical transitions in a SiGeSn/GeSn/SiGeSn single quantum well structure. J. Appl. Phy. 2017, 122, 123102. [Google Scholar] [CrossRef]
  55. Zhou, Y.; Margetis, J.; Abernathy, G.; Dou, W.; Grant, P.C.; Alharthi, B.; Du, W.; Wadsworth, A.; Guo, Q.; Tran, H.; et al. Investigation of SiGeSn/GeSn/SiGeSn quantum well structures and optically pumped lasers on Si. In Proceedings of the 2019 Conference on Lasers and Electro-Optics, San Jose, CA, USA, 5–10 May 2019; p. STu3N-3. [Google Scholar]
  56. Ghetmiri, S.A.; Zhou, Y.; Margetis, J.; Al-Kabi, S.; Dou, W.; Mosleh, A.; Du, W.; Kuchuk, A.; Liu, J.; Yu, S.Q.; et al. Study of a SiGeSn/GeSn/SiGeSn structure toward direct bandgap type-I quantum well for all group-IV optoelectronics. Opt. Lett. 2017, 42, 387–390. [Google Scholar] [CrossRef]
  57. Mathews, J.; Roucka, R.; Xie, J.; Yu, S.Q.; Menéndez, J.; Kouvetakis, J. Extended performance GeSn/Si (100) p-i-n photodetectors for full spectral range telecommunication applications. Appl. Phys. Lett. 2009, 95, 133506. [Google Scholar] [CrossRef]
  58. Gassenq, A.; Gencarelli, F.; Van Campenhout, J.; Shimura, Y.; Loo, R.; Narcy, G.; Vincent, B.; Roelkens, G. GeSn/Ge heterostructure short-wave infrared photodetectors on silicon. Opt. Express 2012, 20, 27297–27303. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  59. Conley, B.R.; Margetis, J.; Du, W.; Tran, H.; Mosleh, A.; Ghetmiri, S.A.; Tolle, J.; Sun, G.; Soref, R.; Li, B.; et al. Si based GeSn photoconductors with a 1.63 A/W peak responsivity and a 2.4 μm long-wavelength cutoff. Appl. Phys. Lett. 2014, 105, 221117. [Google Scholar] [CrossRef]
  60. Conley, B.R.; Mosleh, A.; Ghetmiri, S.A.; Du, W.; Soref, R.A.; Sun, G.; Margetis, J.; Tolle, J.; Nassem, H.; Yu, S.Q. Temperature dependent spectral response and detectivity of GeSn photoconductors on silicon for short wave infrared detection. Opt. Express 2014, 22, 15639–15652. [Google Scholar] [CrossRef] [PubMed]
  61. Pham, T.N.; Du, W.; Conley, B.R.; Margetis, J.; Sun, G.; Soref, R.A.; Tolle, J.; Li, B.; Yu, S.Q. Si-based Ge0.9Sn0.1 photodetector with peak responsivity of 2.85 A/W and longwave cutoff at 2.4 μm. Electron. Lett. 2015, 51, 854–856. [Google Scholar] [CrossRef]
  62. Pham, T.; Du, W.; Tran, H.; Margetis, J.; Tolle, J.; Sun, G.; Yu, S.Q. Systematic study of Si-based GeSn photodiodes with 2.6 µm detector cutoff for short-wave infrared detection. Opt. Express 2016, 24, 4519–4531. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  63. Tran, H.; Pham, T.; Margetis, J.; Zhou, Y.; Dou, W.; Grant, P.C.; Grant, J.; Sun, G.; Tolle, J.; Du, W.; et al. Si-based GeSn photodetectors toward mid-infrared imaging applications. ACS Photonics 2019, 6, 2807–2815. [Google Scholar] [CrossRef] [Green Version]
  64. Tran, H.; Littlejohns, C.G.; Thomson, D.J.; Pham, T.; Ghetmiri, A.; Mosleh, A.; Margetis, J.; Tolle, J.; Du, W.; Yu, S.Q.; et al. Study of GeSn mid-infrared photodetectors for high frequency applications. Front. Mater. 2019, 6, 278. [Google Scholar] [CrossRef]
  65. Tran, H.; Pham, T.; Du, W.; Zhang, Y.; Grant, P.C.; Grant, J.M.; Sun, G.; Soref, R.; Margetis, J.; Yu, S.Q.; et al. High performance Ge0. 89Sn0. 11 photodiodes for low-cost shortwave infrared imaging. J. Appl. Phys. 2018, 124, 013101. [Google Scholar] [CrossRef]
  66. Xu, S.; Wang, W.; Huang, Y.C.; Dong, Y.; Masudy-Panah, S.; Wang, H.; Xiao, G.; Yeo, Y.C. High-speed photo detection at two-micron-wavelength: Technology enablement by GeSn/Ge multiple-quantum-well photodiode on 300 mm Si substrate. Opt. Express 2019, 27, 5798–5813. [Google Scholar] [CrossRef]
  67. Zhou, H.; Xu, S.; Wu, S.; Huang, Y.C.; Zhao, P.; Tong, J.; Son, B.; Guo, X.; Zhang, D.; Gong, X.; et al. Photo detection and modulation from 1550 to 2000 nm realized by a GeSn/Ge multiple-quantum-well photodiode on a 300-mm Si substrate. Opt. Express 2020, 28, 34772–34786. [Google Scholar] [CrossRef]
  68. Wu, S.; Xu, S.; Zhou, H.; Jin, Y.; Chen, Q.; Huang, Y.C.; Zhang, L.; Gong, X.; Tan, C.S. High-performance back-illuminated Ge0. 92Sn0. 08/Ge multiple-quantum-well photodetector on Si platform for SWIR Detection. IEEE J. Sel. Top. Quantum Electron. 2021, 28, 1–9. [Google Scholar] [CrossRef]
  69. Wang, W.; Lei, D.; Huang, Y.C.; Lee, K.H.; Loke, W.K.; Dong, Y.; Xu, S.; Tan, C.; Wang, H.; Yoon, S.; et al. High-performance GeSn photodetector and fin field-effect transistor (FinFET) on an advanced GeSn-on-insulator platform. Opt. Express 2018, 26, 10305–10314. [Google Scholar] [CrossRef] [PubMed]
  70. Wirths, S.; Geiger, R.; Von Den Driesch, N.; Mussler, G.; Stoica, T.; Mantl, S.; Ikonic, Z.; Luysberg, M.; Buca, D.; Grützmacher, D.; et al. Lasing in direct-bandgap GeSn alloy grown on Si. Nat. Photonics 2015, 9, 88–92. [Google Scholar] [CrossRef] [Green Version]
  71. Al-Kabi, S.; Ghetmiri, S.A.; Margetis, J.; Pham, T.; Zhou, Y.; Dou, W.; Collier, B.; Quinde, R.; Du, W.; Yu, S.Q.; et al. An optically pumped 2.5 μ m GeSn laser on Si operating at 110 K. Appl. Phys. Lett. 2016, 109, 171105. [Google Scholar] [CrossRef]
  72. Thai, Q.M.; Pauc, N.; Aubin, J.; Bertrand, M.; Chrétien, J.; Chelnokov, A.; Martmann, J.; Reboud, V.; Calvo, V. 2D hexagonal photonic crystal GeSn laser with 16% Sn content. Appl. Phys. Lett. 2018, 113, 051104. [Google Scholar] [CrossRef]
  73. Margetis, J.; Al-Kabi, S.; Du, W.; Dou, W.; Zhou, Y.; Pham, T.; Grant, P.; Ghetmiri, S.; Mosleh, A.; Li, B.; et al. Si-based GeSn lasers with wavelength coverage of 2–3 μm and operating temperatures up to 180 K. ACS Photonics 2017, 5, 827–833. [Google Scholar] [CrossRef]
  74. Stange, D.; Wirths, S.; Geiger, R.; Schulte-Braucks, C.; Marzban, B.; von den Driesch, N.; Mussler, G.; Zabel, T.; Stoica, T.; Buca, D.; et al. Optically pumped GeSn microdisk lasers on Si. ACS Photonics 2016, 3, 1279–1285. [Google Scholar] [CrossRef]
  75. Dou, W.; Zhou, Y.; Margetis, J.; Ghetmiri, S.A.; Al-Kabi, S.; Du, W.; Liu, J.; Sun, G.; Soref, R.; Yu, S.Q.; et al. Optically pumped lasing at 3 μm from compositionally graded GeSn with tin up to 22.3%. Opt. Lett. 2018, 43, 4558–4561. [Google Scholar] [CrossRef]
  76. Zhou, Y.; Dou, W.; Du, W.; Ojo, S.; Tran, H.; Ghetmiri, S.A.; Liu, J.; Sun, G.; Soref, R.; Yu, S.Q.; et al. Optically pumped GeSn lasers operating at 270 K with broad waveguide structures on Si. ACS Photonics 2019, 6, 1434–1441. [Google Scholar] [CrossRef]
  77. Chrétien, J.; Pauc, N.; Armand Pilon, F.; Bertrand, M.; Thai, Q.M.; Casiez, L.; Bernier, N.; Dansas, H.; Gergaud, P.; Hartmann, J.; et al. GeSn lasers covering a wide wavelength range thanks to uniaxial tensile strain. ACS Photonics 2019, 6, 2462–2469. [Google Scholar] [CrossRef]
  78. Reboud, V.; Gassenq, A.; Pauc, N.; Aubin, J.; Milord, L.; Thai, Q.M.; Bertrand, M.; Guilloy, K.; Rouchon, D.; Calvo, V.; et al. Optically pumped GeSn micro-disks with 16% Sn lasing at 3.1 μm up to 180 K. Appl. Phys. Lett. 2017, 111, 092101. [Google Scholar] [CrossRef] [Green Version]
  79. Du, W.; Thai, Q.M.; Chrétien, J.; Bertrand, M.; Casiez, L.; Zhou, Y.; Margetis, J.; Pauc, N.; Reboud, V.; Yu, S.Q.; et al. Study of Si-based GeSn optically pumped lasers with micro-disk and ridge waveguide structures. Front. Phys. 2019, 7, 147. [Google Scholar] [CrossRef] [Green Version]
  80. Elbaz, A.; Buca, D.; von den Driesch, N.; Pantzas, K.; Patriarche, G.; Zerounian, N.; Herth, E.; Checory, X.; Sauvage, S.; El Kurdi, M.; et al. Ultra-low-threshold continuous-wave and pulsed lasing in tensile-strained GeSn alloys. Nat. Photonics 2020, 14, 375–382. [Google Scholar] [CrossRef]
  81. Kurdi, M.E.; Elbaz, A.; Wang, B.; Sakat, E.; Herth, E.; Patriarche, G.; Pantzas, K.; Sagnes, I.; Sauvage, S.; Buca, D. Tensile Strain Engineering and Defects Management in GeSn Laser Cavities. ECS Trans. 2020, 98, 61. [Google Scholar] [CrossRef]
  82. Elbaz, A.; Arefin, R.; Sakat, E.; Wang, B.; Herth, E.; Patriarche, G.; Foti, A.; Ossikovski, R.; Sauvage, S.; Checoury, X.; et al. Reduced lasing thresholds in GeSn microdisk cavities with defect management of the optically active region. ACS Photonics 2020, 7, 2713–2722. [Google Scholar] [CrossRef]
  83. Margetis, J.; Zhou, Y.; Dou, W.; Grant, P.C.; Alharthi, B.; Du, W.; Tran, H.; Ojo, S.; Liu, J.; Yu, S.Q.; et al. All group-IV SiGeSn/GeSn/SiGeSn QW laser on Si operating up to 90 K. Appl. Phys. Lett. 2018, 113, 221104. [Google Scholar] [CrossRef]
  84. Stange, D.; von den Driesch, N.; Zabel, T.; Armand-Pilon, F.; Rainko, D.; Marzban, B.; Zaumseil, P.; Hartmann, J.M.; Ikonic, Z.; Buca, D.; et al. GeSn/SiGeSn heterostructure and multi quantum well lasers. ACS Photonics 2018, 5, 4628–4636. [Google Scholar] [CrossRef] [Green Version]
  85. Thai, Q.M.; Pauc, N.; Aubin, J.; Bertrand, M.; Chrétien, J.; Delaye, V.; Chelnokov, A.; Hartmann, J.; Reboud, V.; Calvo, V. GeSn heterostructure micro-disk laser operating at 230 K. Opt. Express 2018, 26, 32500–32508. [Google Scholar] [CrossRef]
  86. Von den Driesch, N.; Stange, D.; Rainko, D.; Povstugar, I.; Zaumseil, P.; Capellini, G.; Denneulin, T.; Ikonic, Z.; Hartmann, J.; Buca, D.; et al. Advanced GeSn/SiGeSn group IV heterostructure lasers. Adv. Sci. 2018, 5, 1700955. [Google Scholar] [CrossRef]
  87. Fujisawa, T.; Arai, M.; Saitoh, K. Microscopic gain analysis of modulation-doped GeSn/SiGeSn quantum wells: Epitaxial design toward high-temperature lasing. Opt. Express 2019, 27, 2457–2464. [Google Scholar] [CrossRef]
  88. Dou, W.; Benamara, M.; Mosleh, A.; Margetis, J.; Grant, P.; Zhou, Y.; Al-Kabi, S.; Du, W.; Tolle, J.; Yu, S.Q.; et al. Investigation of GeSn strain relaxation and spontaneous composition gradient for low-defect and high-Sn alloy growth. Sci. Rep. 2018, 8, 1–11. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  89. Zhou, Y.; Miao, Y.; Ojo, S.; Tran, H.; Abernathy, G.; Grant, J.M.; Amoah, S.; Salamo, G.; Du, W.; Yu, S.Q.; et al. Electrically injected GeSn lasers on Si operating up to 100 K. Optica 2020, 7, 924–928. [Google Scholar] [CrossRef]
  90. Zhou, Y.; Ojo, S.; Miao, Y.; Tran, H.; Grant, J.M.; Abernathy, G.; Amoah, S.; Bass, J.; Salamo, G.; Yu, S.Q.; et al. Electrically injected GeSn lasers with peak wavelength up to 2.7 micrometer at 90 K. arXiv Preprint 2020, arXiv:2009.12229. [Google Scholar]
  91. Huang, Y.S.; Lu, F.L.; Tsou, Y.J.; Ye, H.Y.; Lin, S.Y.; Huang, W.H.; Liu, C.W. Vertically stacked strained 3-GeSn-nanosheet pGAAFETs on Si using GeSn/Ge CVD epitaxial growth and the optimum selective channel release process. IEEE Electron Device Lett. 2018, 39, 1274–1277. [Google Scholar] [CrossRef]
  92. Lei, D.; Lee, K.H.; Bao, S.; Wang, W.; Masudy-Panah, S.; Yadav, S.; Kumar, A.; Dong, Y.; Kang, Y.; Xu, S.; et al. The first GeSn FinFET on a novel GeSnOI substrate achieving lowest S of 79 mV/decade and record high Gm, int of 807 μS/μm for GeSn P-FETs. In Proceedings of the 2017 Symposium on VLSI Technology, Tyoto, Japan, 5–8 June 2017; pp. T198–T199. [Google Scholar]
  93. Lei, D.; Lee, K.H.; Huang, Y.C.; Wang, W.; Masudy-Panah, S.; Yadav, S.; Kumar, A.; Dong, Y.; Kang, Y.; Yeo, Y.C.; et al. Germanium-tin (GeSn) P-channel fin field-effect transistor fabricated on a novel GeSn-on-insulator substrate. IEEE Trans. Electron Devices 2018, 65, 3754–3761. [Google Scholar] [CrossRef]
  94. Gupta, S.; Vincent, B.; Yang, B.; Lin, D.; Gencarelli, F.; Lin, J.Y.; Chen, R.; Richard, O.; Bender, H.; Saraswat, K.C.; et al. Towards high mobility GeSn channel nMOSFETs: Improved surface passivation using novel ozone oxidation method. In Proceedings of the 2012 International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 16.2.1–16.2.4. [Google Scholar]
  95. Fang, Y.C.; Chen, K.Y.; Hsieh, C.H.; Su, C.C.; Wu, Y.H. N-MOSFETs formed on solid phase epitaxially grown GeSn film with passivation by oxygen plasma featuring high mobility. ACS Appl. Mater. Inter. 2015, 7, 26374–26380. [Google Scholar] [CrossRef]
  96. Kang, Y.; Han, K.; Kong, E.Y.J.; Lei, D.; Xu, S.; Wu, Y.; Huang, Y.; Gong, X. The first GeSn gate-all-around nanowire P-FET on the GeSnOI substrate with channel length of 20 nm and subthreshold swing of 74 mV/decade. In Proceedings of the 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 22–25 April 2019; pp. 1–2. [Google Scholar]
  97. Chuang, Y.; Huang, H.C.; Li, J.Y. GeSn N-FinFETs and NiGeSn contact formation by phosphorus implant. In Proceedings of the 2017 Silicon Nanoelectronics Workshop (SNW), IEEE Conference, Kyoto, Japan, 4–5 June 2017; pp. 97–98. [Google Scholar]
  98. Pandey, R.; Schulte-Braucks, C.; Sajjad, R.N.; Barth, M.; Ghosh, R.K.; Grisafe, B.; Sharma, P.; Driesch, N.; Vohra, A.; Datta, S.; et al. Performance benchmarking of p-type In0.65Ga0.35As/GaAs0.4Sb0.6 and Ge/G0.93Sn0.07 hetero-junction tunnel FETs. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM). San Francisco, CA, USA, 3–7 December 2016; pp. 1–4. [Google Scholar]
  99. Schulte-Braucks, C.; Pandey, R.; Sajjad, R.N.; Barth, M.; Ghosh, R.K.; Grisafe, B.; Loo, R.; Mantl, S.; Buca, D.; Datta, S.; et al. Fabrication, characterization, and analysis of Ge/GeSn heterojunction p-type tunnel transistors. IEEE Trans. Electron Devices 2017, 64, 4354–4362. [Google Scholar] [CrossRef]
  100. Wang, H.; Han, G.; Jiang, X.; Liu, Y.; Zhang, J.; Hao, Y. Improved performance in GeSn/SiGeSn TFET by hetero-line architecture with staggered tunneling junction. IEEE Trans. Electron Devices 2019, 66, 1985–1989. [Google Scholar] [CrossRef]
  101. Mosleh, A.; Alher, M.; Cousar, L.C.; Du, W.; Ghetmiri, S.A.; Al-Kabi, S.; Dou, W.; Sun, G.; Soref, R.; Yu, S.Q.; et al. Buffer-free GeSn and SiGeSn growth on Si substrate using in situ SnD4 gas mixing. J. Electron. Mater. 2016, 45, 2051–2058. [Google Scholar] [CrossRef]
  102. Grant, P.C.; Dou, W.; Alharthi, B.; Grant, J.M.; Tran, H.; Abernathy, G.; Mosleh, A.; Du, W.; Li, B.; Yu, S.Q.; et al. UHV-CVD growth of high quality GeSn using SnCl4: From material growth development to prototype devices. Opt. Mater. Express 2019, 9, 3277–3291. [Google Scholar] [CrossRef]
  103. Cook, C.S.; Zollner, S.; Bauer, M.R.; Aella, P.; Kouvetakis, J.; Menendez, J. Optical constants and interband transitions of Ge1− xSnx alloys (x < 0.2) grown on Si by UHV-CVD. Thin Solid Film. 2004, 455, 217–221. [Google Scholar]
  104. Xu, C.; Gallagher, J.; Senaratne, C.; Brown, C.; Fernando, N.; Zollner, S.; Kouvetakis, J.; Menendez, J. Doping and strain dependence of the electronic band structure in Ge and GeSn alloys. In APS March Meeting Abstracts; American Physical Society: College Park, MD, USA, 2015. [Google Scholar]
  105. Margetis, J.; Mosleh, A.; Ghetmiri, S.A.; Al-Kabi, S.; Dou, W.; Du, W.; Bhargava, N.; Yu, S.; Profijt, H.; Tolle, J.; et al. Fundamentals of Ge1−xSnx and SiyGe1−x−ySnx RPCVD epitaxy. Mater. Sci. Semicond. Process. 2017, 70, 38–43. [Google Scholar] [CrossRef]
  106. Margetis, J.; Ghetmiri, S.A.; Du, W.; Conley, B.R.; Mosleh, A.; Soref, R.; Yu, S.; Tolle, J. Growth and characterization of epitaxial Ge1-XSnx alloys and heterostructures using a commercial CVD system. ECS Tran. 2014, 64, 711. [Google Scholar] [CrossRef]
  107. Chen, R.; Huang, Y.C.; Gupta, S.; Lin, A.C.; Sanchez, E.; Kim, Y.; Saraswat, K.; Kamins, T.; Harris, J.S. Material characterization of high Sn-content, compressively-strained GeSn epitaxial films after rapid thermal processing. J. Crys. Growth 2013, 365, 29–34. [Google Scholar] [CrossRef]
  108. Wirths, S.; Buca, D.; Mussler, G.; Tiedemann, A.T.; Holländer, B.; Bernardy, P.; Stoica, T.; Grutzmacher, D.; Mantl, S. Reduced pressure CVD growth of Ge and Ge1−xSnx alloys. ECS J. Solid State Sci. Tech. 2013, 2, N99. [Google Scholar] [CrossRef]
  109. Zhang, L.; Chen, Q.; Wu, S.; Son, B.; Lee, K.H.; Chong, G.Y.; Tan, C.S. Growth and Characterizations of GeSn Films with High Sn Composition by Chemical Vapor Deposition (CVD) Using Ge2H6 and SnCl4 for Mid-IR Applications. ECS Trans. 2020, 98, 91. [Google Scholar] [CrossRef]
  110. Kohen, D.; Vohra, A.; Loo, R.; Vandervorst, W.; Bhargava, N.; Margetis, J.; Tolle, J. Enhanced B doping in CVD-grown GeSn: B using B δ-doping layers. J. Crys. Growth 2018, 483, 285–290. [Google Scholar] [CrossRef]
  111. Vanjaria, J.; Arjunan, A.C.; Salagaj, T.; Tompa, G.S.; Yu, H. PECVD Growth of Composition Graded SiGeSn Thin Films as Novel Approach to Limit Tin Segregation. ECS J. Solid State Sci. Tech. 2020, 9, 034009. [Google Scholar] [CrossRef]
  112. Vanjaria, J.V. Growth and Characterization of Si-Ge-Sn Semiconductor Thin Films using a Simplified PECVD Reactor. Ph.D. Thesis, Arizona State University, Tempe, AZ, USA, 2020. [Google Scholar]
  113. Dou, W.; Alharthi, B.; Grant, P.C.; Grant, J.M.; Mosleh, A.; Tran, H.; Du, W.; Li, B.; Naseem, H.; Yu, S.Q.; et al. Crystalline GeSn growth by plasma enhanced chemical vapor deposition. Opt. Mater. Express 2018, 8, 3220–3229. [Google Scholar] [CrossRef]
  114. Li, Z. Room Temperature Lasing in GeSn Alloys. Ph.D. Thesis, University of Dayton, Dayton, OH, USA, 2015. [Google Scholar]
  115. Assali, S.; Nicolas, J.; Mukherjee, S.; Dijkstra, A.; Moutanabbir, O. Atomically uniform Sn-rich GeSn semiconductors with 3.0–3.5 μm room-temperature optical emission. Appl. Phys. Lett. 2018, 112, 251903. [Google Scholar] [CrossRef] [Green Version]
  116. Assali, S.; Nicolas, J.; Moutanabbir, O. Enhanced Sn incorporation in GeSn epitaxial semiconductors via strain relaxation. J. Appl. Phys. 2019, 125, 025304. [Google Scholar] [CrossRef]
  117. Assali, S.; Attiaoui, A.; Del Vecchio, P.; Mukherjee, S.; Kumar, A.; Moutanabbir, O. Epitaxial growth of atomically-sharp GeSn/Ge/GeSn tensile strained (≥1.5%) quantum well on Si. Bull. Am. Phys. Soc. 2020, 65, 6. [Google Scholar]
  118. Gupta, S.; Chen, R.; Vincent, B.; Lin, D.; Magyari-Kope, B.; Caymax, M.; Dekoster, J.; Harris, J.; Nishi, Y.; Saraswat, K.C. GeSn channel n and p MOSFETs. ECS Trans. 2013, 50, 937. [Google Scholar] [CrossRef]
  119. Loo, R.; Shimura, Y.; Ike, S.; Vohra, A.; Stoica, T.; Stange, D.; Buca, D.; Kohen, D.; Margetis, J.; Tolle, J. Epitaxial GeSn: Impact of process conditions on material quality. Semicond. Sci. Technol. 2018, 33, 114010. [Google Scholar] [CrossRef]
  120. Sun, G.; Cheng, H.H.; Menendez, J.; Khurgin, J.B.; Soref, R.A. Strain-free Ge/Ge Si Sn quantum cascade lasers based on L-valley intersubband transitions. Appl. Phys. Lett. 2007, 90, 251105. [Google Scholar] [CrossRef]
  121. Cong, H.; Yang, F.; Xue, C.; Yu, K.; Zhou, L.; Wang, N.; Cheng, B.; Wang, Q. Multilayer graphene–GeSn quantum well heterostructure SWIR light source. Small 2018, 14, 1704414. [Google Scholar] [CrossRef]
  122. Sun, G.; Yu, S.Q. The SiGeSn approach towards Si-based lasers. Solid State Electron. 2013, 83, 76–81. [Google Scholar] [CrossRef]
  123. Conley, B.R.; Naseem, H.; Sun, G.; Sharps, P.; Yu, S.Q. High efficiency MJ solar cells and TPV using SiGeSn materials. In Proceedings of the 2012 38th IEEE Photovoltaic Specialists Conference, Austin, TX, USA, 3–8 June 2012; pp. 001189–001192. [Google Scholar]
  124. Li, J.; Yu, P.; Cheng, H.; Liu, W.; Li, Z.; Xie, B.; Chen, S.; Tian, J. Optical polarization encoding using graphene-loaded plasmonic metasurfaces. Adv. Opt. Mater. 2016, 4, 91–98. [Google Scholar] [CrossRef]
  125. Israelsen, N.M.; Petersen, C.R.; Barh, A.; Jain, D.; Jensen, M.; Hannesschläger, G.; Tidemand-Lichtenberg, P.; Pedersen, C.; Podoleanu, A.; Bang, O. Real-time high-resolution mid-infrared optical coherence tomography. Light Sci. Appl. 2019, 8, 1–13. [Google Scholar] [CrossRef] [Green Version]
  126. Kim, D.H.; Kim, T.W.; Baek, R.H.; Kirsch, P.D.; Maszara, W.; Del Alamo, J.A.; Antoniadis, D.; Urteaga, M.; Brar, B.; Seo, K.S.; et al. High-performance III–V devices for future logic applications. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 1–4. [Google Scholar]
  127. Rachmady, W.; Agrawal, A.; Sung, S.H.; Dewey, G.; Chouksey, S.; Chu-Kung, B.; Elbaz, G.; Fischer, P.; Huang, C.; Kavalieros, J.; et al. 300 mm heterogeneous 3D integration of record performance layer transfer germanium PMOS with silicon NMOS for low power high performance logic applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 1–4. [Google Scholar]
  128. Yamasaka, S.; Watanabe, K.; Sakane, S.; Takeuchi, S.; Sakai, A.; Sawano, K.; Nakamura, Y. Independent control of electrical and heat conduction by nanostructure designing for Si-based thermoelectric materials. Sci. Rep. 2016, 6, 1–8. [Google Scholar] [CrossRef] [Green Version]
  129. Noroozi, M.; Hamawandi, B.; Toprak, M.S.; Radamson, H.H. Fabrication and thermoelectric characterization of GeSn nanowires. In Proceedings of the 2014 15th International Conference on Ultimate Integration on Silicon (ULIS), Stockholm, Sweden, 7–9 April 2014; pp. 125–128. [Google Scholar]
  130. Pearton, S. Silicon-based spintronics. Nat. Mater. 2004, 3, 203–204. [Google Scholar] [CrossRef]
  131. Hortamani, M.; Sandratskii, L.; Kratzer, P.; Mertig, I. Searching for Si-based spintronics by first principles calculations. New J. Phys. 2009, 11, 125009. [Google Scholar] [CrossRef]
  132. Su, H.; Hu, H.; Mousavi, P.; Zhang, H.; Wang, B.; Miao, Y. Silicon-based high-integration reconfigurable dipole with SPiN. Solid-State Electron. 2019, 154, 20–23. [Google Scholar] [CrossRef]
  133. Su, H.; Hu, H.; Zhang, H.; Miao, Y. Investigation of a Silicon-Based High Integration Reconfigurable Dipole. Prog. Electrom. Res. Lett. 2018, 79, 135–141. [Google Scholar] [CrossRef] [Green Version]
  134. Tai, C.T.; Chiu, P.Y.; Liu, C.Y.; Kao, H.S.; Harris, C.T.; Lu, T.M.; Hsieh, C.; Chang, S.; Li, J.Y. Strain Effects on Rashba Spin-Orbit Coupling of 2D Hole Gases in GeSn/Ge Heterostructures. Adv. Mater. 2021, 2007862. [Google Scholar] [CrossRef]
  135. Marchionni, A.; Zucchetti, C.; Ciccacci, F.; Finazzi, M.; Funk, H.S.; Schwarz, D.; Oehme, M.; Schulze, J.; Bottegoni, F. Inverse spin-Hall effect in GeSn. Appl. Phys. Lett. 2021, 118, 212402. [Google Scholar] [CrossRef]
  136. Tolle, J.; Roucka, R.; D’Costa, V.; Menendez, J.; Chizmeshya, A.; Kouvetakis, J. Sn-based Group-IV Semiconductors on Si: New Infrared Materials and New Templates for Mismatched Epitaxy. MRS Online Proc. Lib. 2005, 891, 1–6. [Google Scholar] [CrossRef]
  137. Bauer, M.; Ritter, C.; Crozier, P.A.; Ren, J.; Menendez, J.; Wolf, G.; Kouvetakis, J. Synthesis of ternary SiGeSn semiconductors on Si (100) via SnxGe1−x buffer layers. Appl. Phys. Lett. 2003, 83, 2163–2165. [Google Scholar] [CrossRef]
  138. Taraci, J.; Tolle, J.; Kouvetakis, J.; McCartney, M.R.; Smith, D.J.; Menendez, J.; Santana, M.A. Simple chemical routes to diamond-cubic germanium–tin alloys. Appl. Phys. Lett. 2001, 78, 3607–3609. [Google Scholar] [CrossRef]
  139. Aella, P.; Cook, C.; Tolle, J.; Zollner, S.; Chizmeshya, A.V.G.; Kouvetakis, J. Optical and structural properties of SixSnyGe1−x−y alloys. Appl. Phys. Lett. 2004, 84, 888–890. [Google Scholar] [CrossRef]
  140. Bauer, M.R.; Cook, C.S.; Aella, P.; Tolle, J.; Kouvetakis, J.; Crozier, P.A.; Chizmeshya, A.; Zollner, S. SnGe superstructure materials for Si-based infrared optoelectronics. Appl. Phys. Lett. 2003, 83, 3489–3491. [Google Scholar] [CrossRef]
  141. Li, S.F.; Bauer, M.R.; Menéndez, J.; Kouvetakis, J. Scaling law for the compositional dependence of Raman frequencies in SnGe and GeSi alloys. Appl. Phys. Lett. 2004, 84, 867–869. [Google Scholar] [CrossRef]
  142. Roucka, R.; Yu, S.Q.; Tolle, J.; Fang, Y.Y.; Wu, S.N.; Menendez, J.; Kouvetakis, J. Photoresponse at 1. In 55 μm in GeSn epitaxial films grown on Si. In Proceedings of the LEOS 2007-IEEE Lasers and Electro-Optics Society Annual Meeting Conference Proceedings, Lake Buena Vista, FL, USA, 21–25 October 2007; pp. 178–179. [Google Scholar]
  143. Mathews, J.; Roucka, R.; Yu, S.Q.; Tolle, J.; Kouvetakis, J.; Menendez, J. Photocurrent Measurements on Novel Group IV Semiconductor Alloys. In APS Four Corners Section Meeting Abstracts 2007; American Physical Society: College Park, MD, USA, 2007. [Google Scholar]
  144. D’costa, V.R.; Tolle, J.; Roucka, R.; Poweleit, C.D.; Kouvetakis, J.; Menendez, J. Raman scattering in Ge1−ySny alloys. Solid State Commun. 2007, 144, 240–244. [Google Scholar] [CrossRef]
  145. Grzybowski, G.; Beeler, R.T.; Jiang, L.; Smith, D.J.; Kouvetakis, J.; Menendez, J. Next generation of Ge1−ySny (y = 0.01–0.09) alloys grown on Si(100) via Ge3H8 and SnD4: Reaction kinetics and tunable emission. Appl. Phys. Lett. 2012, 101, 072105. [Google Scholar] [CrossRef]
  146. Grzybowski, G.; Jiang, L.; Beeler, R.T.; Watkins, T.; Chizmeshya, A.V.; Xu, C.; Menendez, J.; Kouvetakis, J. Ultra-low-temperature Epitaxy of Ge-based semiconductors and Optoelectronic structures on Si(100): Introducing higher order Germanes (Ge3H8, Ge4H10). Chem. Mater. 2012, 24, 1619–1628. [Google Scholar] [CrossRef]
  147. Beeler, R.T.; Xu, C.; Smith, D.J.; Grzybowski, G.; Menendez, J.; Kouvetakis, J. Compositional dependence of the absorption edge and dark currents in Ge1–x−ySixSny/Ge(100) photodetectors grown via ultra-low-temperature epitaxy of Ge4H10, Si4H10, and SnD4. Appl. Phys. Lett. 2012, 101, 221111. [Google Scholar] [CrossRef]
  148. Kouvetakis, J.; Gallagher, J.; Menéndez, J. Direct gap Group IV semiconductors for next generation Si-based IR photonics. MRS Online Proc. Libr. 2014, 1666, 24–35. [Google Scholar] [CrossRef]
  149. Mircovich, M.A.; Xu, C.; Ringwala, D.A.; Poweleit, C.D.; Menéndez, J.; Kouvetakis, J. Extended Compositional Range for the Synthesis of SWIR and LWIR Ge1−ySny Alloys and Device Structures via CVD of SnH4 and Ge3H8. ACS Appl. Electron. Mater. 2021, 3, 3451–3460. [Google Scholar] [CrossRef]
  150. Vincent, B.; Gencarelli, F.; Bender, H.; Merckling, C.; Douhard, B.; Petersen, D.H.; Hansen, O.; Henrichsen, H.; Meersschaut, J.; Caymax, M.; et al. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition. Appl. Phys. Lett. 2011, 99, 152103. [Google Scholar] [CrossRef] [Green Version]
  151. Margetis, J.; Yu, S.Q.; Li, B.; Tolle, J. Chemistry and kinetics governing hydride/chloride chemical vapor deposition of epitaxial Ge1−xSnx. J. Vacu. Sci. Technolo. A Vacu. Surf. Film. 2019, 37, 021508. [Google Scholar] [CrossRef]
  152. Patchett, D. Germanium-tin-silicon Epitaxial Structures Grown on Silicon by Reduced Pressure Chemical Vapour Deposition. Ph.D. Thesis, University of Warwick, Coventry, UK, 2016. [Google Scholar]
  153. Margetis, J. RPCVD Growth of Epitaxial Si-Ge-Sn Alloys for Optoelectronics Applications. Ph.D. Thesis, Arizona State University, Tempe, AZ, USA, 2018. [Google Scholar]
  154. Wirths, S. Group IV Epitaxy for Advanced Nano-and Optoelectronic Applications. Ph.D. Thesis, Halbleiter-Nanoelektronik, Jülich, Germany, 2016. [Google Scholar]
  155. Aubin, J.; Hartmann, J.M.; Gassenq, A.; Rouviere, J.L.; Robin, E.; Delaye, V.; Cooper, D.; Mollard, N.; Reboud, V.; Calvo, V. Growth and structural properties of step-graded, high Sn content GeSn layers on Ge. Semicond. Sci. Technolo. 2017, 32, 094006. [Google Scholar] [CrossRef]
  156. Aubin, J. Low Temperature Epitaxy of Si, Ge, and Sn Based Alloys. Ph.D. Thesis, Université Grenoble Alpes, Grenoble, France, 2017. [Google Scholar]
  157. Grant, J.M. Investigation of Critical Technologies of Chemical Vapor Deposition for Advanced (Si) GeSn Materials. Ph.D. Thesis, University of Arkansas, Fayetteville, AR, USA, 2019. [Google Scholar]
  158. Xu, C.; Wallace, P.M.; Ringwala, D.A.; Chang, S.L.; Poweleit, C.D.; Kouvetakis, J.; Menéndez, J. Mid-infrared (3–8 μm) Ge1−ySny alloys (0.15 < y < 0.30): Synthesis, structural, and optical properties. Appl. Phys. Lett. 2019, 114, 212104. [Google Scholar]
  159. Xu, C.; Ringwala, D.; Wang, D.; Liu, L.; Poweleit, C.D.; Chang, S.L.; Zhuang, H.; Menendez, J.; Kouvetakis, J. Synthesis and fundamental studies of Si-compatible (Si) GeSn and GeSn mid-IR systems with ultrahigh Sn contents. Chem. Mater. 2019, 31, 9831–9842. [Google Scholar] [CrossRef]
  160. Hu, T. Synthesis and Properties of Sn-Based Group IV Alloys. Ph.D. Thesis, Arizona State University, Tempe, AZ, USA, 2019. [Google Scholar]
  161. Wallace, P.M. Expanding the Optical Capabilities of Germanium in the Infrared Range through Group IV and III-V-IV Alloy Systems. Ph.D. Thesis, Arizona State University, Tempe, AZ, USA, 2018. [Google Scholar]
  162. Gencarelli, F.; Vincent, B.; Demeulemeester, J.; Vantomme, A.; Moussa, A.; Franquet, A.; Kumar, A.; Bender, H.; Meersschaut, J.; Heyns, M.; et al. Crystalline properties and strain relaxation mechanism of CVD grown GeSn. ECS J. Solid State Sci. Technol. 2013, 2, P134. [Google Scholar] [CrossRef]
  163. Takeuchi, S.; Shimura, Y.; Nishimura, T.; Vincent, B.; Eneman, G.; Clarysse, T.; Demeulemeester, J.; Vantomme, A.; Loo, R.; Zaima, S.; et al. Ge1−xSnx stressors for strained-Ge CMOS. Solid-State Electron. 2011, 60, 53–57. [Google Scholar] [CrossRef]
  164. Su, S.; Cheng, B.; Xue, C.; Wang, W.; Cao, Q.; Xue, H.; Hu, W.; Zhang, G.; Zuo, Y.; Wang, Q. GeSn pin photodetector for all telecommunication bands detection. Opt. Express 2011, 19, 6400–6405. [Google Scholar] [CrossRef] [PubMed]
  165. Han, G.; Su, S.; Wang, L.; Wang, W.; Gong, X.; Yang, Y.; Ivana; Guo, P.; Guo, C.; Yeo, Y.C.; et al. Strained germanium-tin (GeSn) N-channel MOSFETs featuring low temperature N+/P junction formation and GeSnO2 interfacial layer. In Proceedings of the Symposium on VLSI Technology (VLSIT), IEEE Conference, Honolulu, HI, USA, 12–14 June 2012; pp. 97–98. [Google Scholar]
  166. Yang, Y.; Su, S.; Guo, P.; Wang, W.; Gong, X.; Wang, L.; Low, K.; Zhang, G.; Xue, C.; Cheng, B.; et al. Towards direct band-to-band tunneling in p-channel tunneling field effect transistor (TFET): Technology enablement by germanium-tin (GeSn). In Proceedings of the 2012 International Electron Devices Meeting, IEEE Conference, San Francisco, CA, USA, 10–13 December 2012; pp. 1–4. [Google Scholar]
  167. Han, G.; Su, S.; Zhou, Q.; Wang, L.; Wang, W.; Zhang, G.; Xue, C.; Cheng, B.; Yeo, Y.C. BF2+ ion implantation and dopant activation in strained Germanium-tin (Ge1−xSnx) epitaxial layer. In Proceedings of the 2012 12th International Workshop on Junction Technology, Shanghai, China, 14–15 May 2012; pp. 106–108. [Google Scholar]
  168. Guo, P.; Zhan, C.; Yang, Y.; Gong, X.; Liu, B.; Cheng, R.; Wang, W.; Pan, J.; Zhang, Z.; Yeo, Y.C.; et al. Germanium-Tin (GeSn) N-channel MOSFETs with low temperature silicon surface passivation. In Proceedings of the 2013 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 22–24 April 2013; pp. 1–2. [Google Scholar]
  169. Yang, Y.; Han, G.; Guo, P.; Wang, W.; Gong, X.; Wang, L.; Low, K.; Yeo, Y.C. Germanium–tin p-channel tunneling field-effect transistor: Device design and technology demonstration. IEEE Trans. Electron Devices 2013, 60, 4048–4056. [Google Scholar] [CrossRef]
  170. Han, G.; Su, S.; Yang, Y.; Guo, P.; Gong, X.; Wang, L.; Wang, W.; Guo, C.; Zhang, G.; Yeo, Y.C.; et al. High Hole Mobility in Strained Germanium-Tin (GeSn) Channel pMOSFET Fabricated on (111) Substrate. ECS Trans. 2013, 50, 943. [Google Scholar] [CrossRef]
  171. Tong, Y.; Han, G.; Liu, B.; Yang, Y.; Wang, L.; Wang, W.; Yeo, Y.C. Ni(Ge1−xSnx) Ohmic Contact Formation on N-Type Ge1−xSnx Using Selenium or Sulfur Implant and Segregation. IEEE Trans. Electron Devices 2013, 60, 746–752. [Google Scholar] [CrossRef]
  172. Yeo, Y.C.; Han, G.; Gong, X.; Wang, L.; Wang, W.; Yang, Y.; Guo, P.; Liu, B.; Su, S.; Cheng, B.; et al. Tin-Incorporated Source/Drain and Channel Materials for Field-Effect Transistors. ECS Trans. 2013, 50, 931. [Google Scholar] [CrossRef]
  173. Gong, X.; Han, G.; Su, S.; Cheng, R.; Guo, P.; Bai, F.; Yang, Y.; Zhou, Q.; Liu, B.; Yeo, Y.C.; et al. Uniaxially strained germanium-tin (GeSn) gate-all-around nanowire PFETs enabled by a novel top-down nanowire formation technology. In Proceedings of the 2013 Symposium on VLSI Technology, Kyoto, Japan, 11–13 June 2013; pp. T34–T35. [Google Scholar]
  174. Gupta, S.; Huang, Y.C.; Kim, Y.; Sanchez, E.; Saraswat, K.C. Hole Mobility Enhancement in Compressively Strained Ge0.93Sn0.07 pMOSFETs. IEEE Electron Device Lett. 2013, 34, 831–833. [Google Scholar] [CrossRef]
  175. Wang, L.; Liu, B.; Gong, X.; Guo, P.; Zhou, Q.; Chua, L.H.; Zou, W.; Hatem, C.; Henry, T.; Yeo, Y.C. Self-crystallization and reduced contact resistivity by hot phosphorus ion implant in germanium-tin alloy. In Proceedings of the Technical Program-2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 28–30 April 2014; pp. 1–2. [Google Scholar]
  176. D’Costa, V.R.; Wang, L.; Wang, W.; Lim, S.L.; Chan, T.K.; Chua, L.H.; Henry, T.; Zou, W.; Hatem, C.; Yeo, Y.C.; et al. Towards simultaneous achievement of carrier activation and crystallinity in Ge and GeSn with heated phosphorus ion implantation: An optical study. Appl. Phys. Lett. 2014, 105, 122108. [Google Scholar] [CrossRef] [Green Version]
  177. Zhang, X.; Zhang, D.; Zheng, J.; Liu, Z.; He, C.; Xue, C.; Zhang, G.; Li, C.; Cheng, B.; Wang, Q. Formation and characterization of Ni/Al Ohmic contact on n+-type GeSn. Solid-State Electron. 2015, 114, 178–181. [Google Scholar] [CrossRef]
  178. Zhou, J.; Han, G.; Li, Q.; Peng, Y.; Lu, X.; Zhang, C.; Sun, Q.; Zhang, D.; Hao, Y. Ferroelectric HfZrOxGe and GeSn PMOSFETs with Sub-60 mV/decade subthreshold swing, negligible hysteresis, and improved Ids. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 1–4. [Google Scholar]
  179. Cong, H.; Xue, C.; Zheng, J.; Yang, F.; Yu, K.; Liu, Z.; Zhang, X.; Cheng, B.; Wang, Q. Silicon based GeSn pin photodetector for SWIR detection. IEEE Photon. J. 2016, 8, 1–6. [Google Scholar] [CrossRef]
  180. Zhou, J.; Han, G.; Peng, Y.; Liu, Y.; Zhang, J.; Sun, Q.Q.; Zhang, D.; Hao, Y. Ferroelectric negative capacitance GeSn PFETs with sub-20 mV/decade subthreshold swing. IEEE Electron Device Lett. 2017, 38, 1157–1160. [Google Scholar] [CrossRef]
  181. Liu, Y.C.; Huang, Y.S.; Lu, F.L.; Ye, H.Y.; Tu, C.T.; Liu, C.W. Novel vertically stacked Ge0. 85Si0. 15 nGAAFETs above a Si channel with low SS of 76 mV/dec by underneath Si channel and enhanced Ion (1.7 X at VOV = VDS = 0.5 V) by Ge0. 85Si0. 15 channels. Semicond. Sci. Technolo. 2020, 35, 055010. [Google Scholar] [CrossRef]
  182. Zhao, Y.; Wang, N.; Yu, K.; Zhang, X.; Li, X.; Zheng, J.; Xue, C.; Cheng, B.; Li, C. High performance silicon-based GeSn p–i–n photodetectors for short-wave infrared application. Chi. Phys. B 2019, 28, 128501. [Google Scholar] [CrossRef]
  183. Peng, L.; Li, X.; Liu, Z.; Liu, X.; Zheng, J.; Xue, C.; Zuo, Y.; Cheng, B. Horizontal GeSn/Ge multi-quantum-well ridge waveguide LEDs on silicon substrates. Photonics Res. 2020, 8, 899–903. [Google Scholar] [CrossRef]
  184. Tsui, B.Y.; Liao, H.H.; Chen, Y.J. Degradation Mechanism of Ge N+-P Shallow Junction with Thin GeSn Surface Layer. IEEE Trans. Electron Devices 2020, 67, 1120–1125. [Google Scholar] [CrossRef]
  185. Tsai, C.E.; Lu, F.L.; Chen, P.S.; Liu, C.W. Boron-doping induced Sn loss in GeSn alloys grown by chemical vapor deposition. Thin Solid Film. 2018, 660, 263–266. [Google Scholar] [CrossRef]
  186. Radamson, H.H.; Noroozi, M.; Jamshidi, A.; Thompson, P.E.; Östling, M. Strain engineering in GeSnSi materials. ECS Trans. 2013, 50, 527. [Google Scholar] [CrossRef]
  187. Liu, Q.; Geilei, W.; Guo, Y.; Ke, X.; Radamson, H.; Liu, H.; Zhao, C.; Luo, J. Improvement of the thermal stability of nickel stanogermanide by carbon pre-stanogermanidation implant into GeSn substrate. ECS J. Solid State Sci. Technolo. 2014, 4, P67. [Google Scholar] [CrossRef]
  188. Von den Driesch, N.; Stange, D.; Wirths, S.; Rainko, D.; Mussler, G.; Stoica, T.; Ikonic, Z.; Hartmann, J.; Mantl, S.; Buca, D.; et al. Direct bandgap GeSn light emitting diodes for short-wave infrared applications grown on Si. In Proceedings of the Silicon Photonics XI, International Society for Optics and Photonics, San Francisco, CA, USA, 13–18 February 2016; Volume 9752, p. 97520C. [Google Scholar]
  189. Stange, D.; Von Den Driesch, N.; Rainko, D.; Schulte-Braucks, C.; Wirths, S.; Mussler, G.; Tiedemann, A.; Stoica, T.; Hartmann, J.; Buca, D.; et al. Study of GeSn based heterostructures: Towards optimized group IV MQW LEDs. Opt. Express 2016, 24, 1358–1367. [Google Scholar] [CrossRef] [Green Version]
  190. Bhargava, N.; Margetis, J.; Tolle, J. As doping of Si–Ge–Sn epitaxial semiconductor materials on a commercial CVD reactor. Semicond. Sci. Technol. 2017, 32, 094003. [Google Scholar] [CrossRef]
  191. Lu, F.L.; Tsai, C.E.; Huang, C.H.; Ye, H.Y.; Lin, S.Y.; Liu, C.W. Record Low Contact Resistivity (4.4 × 10−10 Ω-cm2) to Ge Using In-situ B and Sn Incorporation by CVD With Low Thermal Budget (≤400 °C) and without Ga. In Proceedings of the 2019 Symposium on VLSI Technology, Kyoto, Japan, 9–14 June 2019; pp. T178–T179. [Google Scholar]
  192. Tsai, C.E.; Lu, F.L.; Liu, Y.C.; Ye, H.Y.; Liu, C.W. Low Contact Resistivity to Ge Using In-Situ B and Sn Incorporation by Chemical Vapor Deposition. IEEE Trans. Electron Devices 2020, 67, 5053–5058. [Google Scholar] [CrossRef]
  193. Frauenrath, M.; Hartmann, J.M.; Nolot, E. Boron and Phosphorous Doping of GeSn for Photodetectors and Light Emitting Diodes. ECS Trans. 2020, 98, 325. [Google Scholar] [CrossRef]
  194. Lu, F.L.; Liu, Y.C.; Tsai, C.E.; Ye, H.Y.; Liu, C.W. Record Low Contact Resistivity to Ge: B (8.1 × 10−10 Ω–cm2) and GeSn: B (4.1 × 10−10 Ω–cm2) with Optimized [B] and [Sn] by In-situ CVD Doping. In Proceedings of the 2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 16–19 June 2020; pp. 1–2. [Google Scholar]
  195. Baert, B. Impact of Electron Trap States on the Transport Properties of GeSn Semiconducting Heterostructures Assessed by Electrical Characterizations. Ph.D. Thesis, Université de Liège, Liège, Belgium, 2016. [Google Scholar]
  196. Li, H.; Cheng, H.H.; Lee, L.C.; Lee, C.P.; Su, L.H.; Suen, Y.W. Electrical characteristics of Ni Ohmic contact on n-type GeSn. Appl. Phys. Lett. 2014, 104, 241904. [Google Scholar] [CrossRef] [Green Version]
  197. Chuang, Y.; Liu, C.Y.; Kao, H.S.; Tien, K.Y.; Luo, G.L.; Li, J.Y. Schottky Barrier Height Modulation of Metal/n-GeSn Contacts Featuring Low Contact Resistivity by in Situ Chemical Vapor Deposition Doping and NiGeSn Alloy Formation. ACS Appl. Electron. Mater. 2021, 3, 1334–1340. [Google Scholar] [CrossRef]
  198. Abdi, S.; Atalla, M.; Assali, S.; Kumar, A.; Groell, L.; Koelling, S.; Moutanabbir, O. Towards Ultra-Low Specific Contact Resistance on P-Type and N-Type Narrow Bandgap GeSn Semiconductors. In ECS Meeting Abstracts; IOP Publishing: Montreal, QC, Canada, 2020; Volume 22, p. 1322. [Google Scholar]
  199. Galluccio, E.; Petkov, N.; Mirabelli, G.; Doherty, J.; Lin, S.Y.; Lu, F.L.; Liu, C.; Holmes, J.; Duffy, R. Formation and characterization of Ni, Pt, and Ti stanogermanide contacts on Ge0.92Sn0.08. Thin Solid Film. 2019, 690, 137568. [Google Scholar] [CrossRef]
  200. Wu, Y.; Xu, H.; Han, K.; Gong, X. Thermal Stability and Sn Segregation of Low-Resistance Ti/p+-Ge0.95Sn0.05 Contact. IEEE Electron Device Lett. 2019, 40, 1575–1578. [Google Scholar] [CrossRef]
  201. Wu, Y.; Xu, H.; Chua, L.H.; Han, K.; Zou, W.; Henry, T.; Gong, X. A Novel Fast-Turn-Around Ladder TLM Methodology with Parasitic Metal Resistance Elimination, and 2 × 10−10 Ω-cm2 Resolution: Theoretical Design and Experimental Demonstration. In Proceedings of the 2019 Symposium on VLSI Technology, Kyoto, Japan, 9–14 June 2019; pp. T150–T151. [Google Scholar]
  202. Wu, Y.; Wang, W.; Masudy-Panah, S.; Li, Y.; Han, K.; He, L.; Zhang, Z.; Lei, D.; Xue, S.; Gong, X.; et al. Sub-10−9 Ω·cm2 Specific Contact Resistivity (Down to 4.4 × 10−10 Ω·cm2) for Metal Contact on Ga and Sn Surface-Segregated GeSn Film. IEEE Trans. Electron Devices 2018, 65, 5275–5281. [Google Scholar] [CrossRef]
  203. Wu, Y.; Luo, S.; Wang, W.; Masudy-Panah, S.; Lei, D.; Liang, G.; Gong, X.; Yeo, Y.C. Ultra-low specific contact resistivity (1.4 × 10−9 Ω cm2) for metal contacts on in-situ Ga-doped Ge0. 95Sn0. 05 film. J. Appl. Phys. 2017, 122, 224503. [Google Scholar] [CrossRef]
  204. Han, G.; Su, S.; Zhou, Q.; Guo, P.; Yang, Y.; Zhan, C.; Wang, L.; Wang, W.; Wang, Q.; Yeo, Y.C.; et al. Dopant Segregation and Nickel Stanogermanide Contact Formation on p+Ge0.947Sn0.053 Source/Drain. IEEE Electron Device Lett. 2012, 33, 634–636. [Google Scholar] [CrossRef]
  205. Zheng, J.; Zhang, Y.; Liu, Z.; Zuo, Y.; Li, C.; Xue, C.; Cheng, B.; Wang, Q. Fabrication of low-resistance Ni ohmic contacts on n+-Ge1−xSnx. IEEE Trans. Electron Devices 2018, 65, 4971–4974. [Google Scholar] [CrossRef]
  206. Zheng, J.; Wang, S.; Zhang, X.; Liu, Z.; Xue, C.; Li, C.; Zuo, Y.; Cheng, B.; Wang, Q. Ni (Ge1−x−ySixSny) Ohmic Contact Formation on p-type Ge0.86Si0.07Sn0.07. IEEE Electron Device Lett. 2015, 36, 878–880. [Google Scholar] [CrossRef]
  207. Schulte-Braucks, C.; Hofmann, E.; Glass, S.; von den Driesch, N.; Mussler, G.; Breuer, U.; Hartmann, J.; Zaumseil, P.; Zhao, Q.; Buca, D.; et al. Schottky barrier tuning via dopant segregation in NiGeSn-GeSn contacts. J. Appl. Phys. 2017, 121, 205705. [Google Scholar] [CrossRef] [Green Version]
  208. Farokhnejad, A.; Schwarz, M.; Horst, F.; Iñíguez, B.; Lime, F.; Kloes, A. Analytical modeling of capacitances in tunnel-FETs including the effect of Schottky barrier contacts. Solid-State Electron. 2019, 159, 191–196. [Google Scholar] [CrossRef]
  209. Zhou, H.; Xu, S.; Lin, Y.; Huang, Y.C.; Son, B.; Chen, Q.; Guo, X.; Lee, K.; Goh, S.; Gong, X.; et al. High-efficiency GeSn/Ge multiple-quantum-well photodetectors with photon-trapping microstructures operating at 2 µm. Opt. Express 2020, 28, 10280–10293. [Google Scholar] [CrossRef]
  210. Sun, G.; Soref, R.A.; Cheng, H.H. Design of an electrically pumped SiGeSn/GeSn/SiGeSn double-heterostructure midinfrared laser. J. Appl. Phys. 2010, 108, 033107. [Google Scholar] [CrossRef]
  211. Sun, G.; Soref, R.A.; Cheng, H.H. Design of a Si-based lattice-matched room-temperature GeSn/GeSiSn multi-quantum-well mid-infrared laser diode. Opt. Express 2010, 18, 19957–19965. [Google Scholar] [CrossRef]
  212. Radamson, H.H.; Luo, J.; Simoen, E.; Zhao, C. CMOS Past, Present and Future; Woodhead Publishing: Cambridge, UK, 2018; ISBN 9780081021392. [Google Scholar]
  213. Takagi, S.; Iisawa, T.; Tezuka, T.; Numata, T.; Nakaharai, S.; Hirashita, N.; Moriyama, Y.; Usuda, K.; Toyoda, E.; Sugiyama, N.; et al. Carrier-transport-enhanced channel CMOS for improved power consumption and performance. IEEE Trans. Electron Devices 2007, 55, 21–39. [Google Scholar] [CrossRef]
  214. Huang, Y.S.; Lu, F.L.; Tsou, Y.J.; Tsai, C.E.; Lin, C.Y.; Huang, C.H.; Liu, C.W. First vertically stacked GeSn nanowire pGAAFETs with I on = 1850 μA/μm (Vov = Vds = −1 V) on Si by GeSn/Ge CVD epitaxial growth and optimum selective etching. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 1–4. [Google Scholar]
  215. Chuang, Y.; Liu, C.Y.; Luo, G.L.; Li, J.Y. Electron Mobility Enhancement in GeSn n-Channel MOSFETs by Tensile Strain. IEEE Electron. Device Lett. 2020, 42, 10–13. [Google Scholar] [CrossRef]
  216. Liu, M.; Mertens, K.; von den Driesch, N.; Schlykow, V.; Grap, T.; Lentz, F.; Trellenkamp, S.; Hartmann, J.; Knoch, J.; Buca, D.; et al. Vertical heterojunction Ge0. 92Sn0. 08/Ge gate-all-around nanowire pMOSFETs with NiGeSn contact. Solid-State Electron. 2020, 168, 107716. [Google Scholar] [CrossRef]
  217. Liu, L.; Liang, R.; Wang, G.; Radamson, H.H.; Wang, J.; Xu, J. Investigation on direct-gap GeSn alloys for high-performance tunneling field-effect transistor applications. In Proceedings of the 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM), Toyama, Japan, 28 February–2 March 2017; pp. 180–181. [Google Scholar]
  218. Radamson, H.H.; Zhu, H.L.; Wu, Z.H.; He, X.B.; Lin, H.X.; Liu, J.B.; Xiang, J.J.; Kong, Z.Z.; Wang, G.L. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555. [Google Scholar] [CrossRef] [PubMed]
  219. Yin, X.; Zhang, Y.; Zhu, H.; Wang, G.L.; Li, J.J.; Du, A.Y.; Li, C.; Zhao, L.H.; Huang, W.X. Vertical sandwish gate-all-around field-effect transistors with self-aligned high-k metal gates and small effective-gate-length variation. IEEE Electron. Device Lett. 2019, 42, 8–11. [Google Scholar]
  220. Noroozi, M.; Moeen, M.; Abedin, A.; Toprak, M.S.; Radamson, H.H. Effect of strain on Ni-(GeSn)x contact formation to GeSn nanowires. MRS Online Proc. Libr. 2014, 1707, 7–12. [Google Scholar] [CrossRef]
  221. Jamshidi, A.; Noroozi, M.; Moeen, M.; Hallén, A.; Hamawandi, B.; Lu, J.; Hultman, L.; Östling, M.; Radamson, H. Growth of GeSnSiC layers for photonic applications. Surf. Coat. Technol. 2013, 230, 106–110. [Google Scholar] [CrossRef]
  222. Noroozi, M.; Abedin, A.; Moeen, M.; Östling, M.; Radamson, H.H. CVD growth of GeSnSiC alloys using disilane, digermane, Tin Tetrachloride and methylsilane. ECS Trans. 2014, 64, 703. [Google Scholar] [CrossRef]
  223. Hållstedt, J.; Blomqvist, M.; Persson, P.Å.; Hultman, L.; Radamson, H.H. The effect of carbon and germanium on phase transformation of nickel on Si1−x−yGexCy epitaxial layers. J. Appl. Phys. 2004, 95, 2397–2402. [Google Scholar] [CrossRef]
Figure 1. Potential applications of GeSn materials in different research areas.
Figure 1. Potential applications of GeSn materials in different research areas.
Nanomaterials 11 02556 g001
Figure 2. Optoelectronic applications of GeSn as a function of technology readiness level (GeSn transistors, which are still in the technical development stage, are not shown here due to space limitations).
Figure 2. Optoelectronic applications of GeSn as a function of technology readiness level (GeSn transistors, which are still in the technical development stage, are not shown here due to space limitations).
Nanomaterials 11 02556 g002
Figure 3. (a) Scanning transmission electron microscopy (STEM) image and (b) EDX cross-sectional profile of the GeSn with Sn contents of up to 25%. Reproduced with permission from [138], AIP Publishing, 2001.
Figure 3. (a) Scanning transmission electron microscopy (STEM) image and (b) EDX cross-sectional profile of the GeSn with Sn contents of up to 25%. Reproduced with permission from [138], AIP Publishing, 2001.
Nanomaterials 11 02556 g003
Figure 4. Comparison of (a) (004) omega-2 theta scans and (b) Sn content profiles of as-grown and annealed Ge0.92Sn0.08 samples under different annealing conditions. Reproduced with permission from [150], AIP Publishing, 2011.
Figure 4. Comparison of (a) (004) omega-2 theta scans and (b) Sn content profiles of as-grown and annealed Ge0.92Sn0.08 samples under different annealing conditions. Reproduced with permission from [150], AIP Publishing, 2011.
Nanomaterials 11 02556 g004
Figure 5. (a) Number of publications/year on GeSn materials grown by the CVD technique; (b) number of the publications/year on GeSn optoelectronic devices (theoretical calculations and conference proceedings are included).
Figure 5. (a) Number of publications/year on GeSn materials grown by the CVD technique; (b) number of the publications/year on GeSn optoelectronic devices (theoretical calculations and conference proceedings are included).
Nanomaterials 11 02556 g005
Figure 6. List of GeSn CVD growth papers by different groups.
Figure 6. List of GeSn CVD growth papers by different groups.
Nanomaterials 11 02556 g006
Figure 7. Temperature effect on the Sn content from different research groups (UW, UA, and EPM denote the University of Warwick, University of Arkansas, and Université de Montréal, respectively) [113,115,116,117,151,152,153,154,155,156].
Figure 7. Temperature effect on the Sn content from different research groups (UW, UA, and EPM denote the University of Warwick, University of Arkansas, and Université de Montréal, respectively) [113,115,116,117,151,152,153,154,155,156].
Nanomaterials 11 02556 g007
Figure 8. Room-temperature PL spectra for GeSn grown by PECVD technology (the Sn content is 6%). Reproduced from [114], open access by OSA Library, 2018.
Figure 8. Room-temperature PL spectra for GeSn grown by PECVD technology (the Sn content is 6%). Reproduced from [114], open access by OSA Library, 2018.
Nanomaterials 11 02556 g008
Figure 9. PL spectra for the GeSn grown at temperatures of 250, 260, and 270 °C. Reproduced from [157], open access by ScholarWorks@UARK.
Figure 9. PL spectra for the GeSn grown at temperatures of 250, 260, and 270 °C. Reproduced from [157], open access by ScholarWorks@UARK.
Nanomaterials 11 02556 g009
Figure 10. Temperature effect on Sn content from UHVCVD, LPCVD, and APCVD growth.
Figure 10. Temperature effect on Sn content from UHVCVD, LPCVD, and APCVD growth.
Nanomaterials 11 02556 g010
Figure 11. GeSn surface morphology vs. growth pressure (growth temperature: 320 °C; growth pressure: 10, 100, and 760 Torr; precursors: Ge2H6 and SnCl4). Reproduced with permission from [119], IOP Publishing, 2018.
Figure 11. GeSn surface morphology vs. growth pressure (growth temperature: 320 °C; growth pressure: 10, 100, and 760 Torr; precursors: Ge2H6 and SnCl4). Reproduced with permission from [119], IOP Publishing, 2018.
Nanomaterials 11 02556 g011
Figure 12. Sn content vs. SnCl4/GeH4 ratio (growth temperatures: 320 and 350 °C; carrier gases: N2 and H2; precursors: GeH4 and SnCl4). Reproduced from [153], open access by ASU library.
Figure 12. Sn content vs. SnCl4/GeH4 ratio (growth temperatures: 320 and 350 °C; carrier gases: N2 and H2; precursors: GeH4 and SnCl4). Reproduced from [153], open access by ASU library.
Nanomaterials 11 02556 g012
Figure 13. AFM images of (a) GeSn with an Sn content of 6.4%; the strain relaxations for (a1), (a2), and (a3) are 8%, 33%, and 75%, respectively. (b) GeSn with a strain relaxation of 75%; the Sn contents of (b1), (b2), and (b3) are 12.6%, 8.1%, and 6.4%, respectively. Reproduced with permission from [162], IOP Publishing, 2012.
Figure 13. AFM images of (a) GeSn with an Sn content of 6.4%; the strain relaxations for (a1), (a2), and (a3) are 8%, 33%, and 75%, respectively. (b) GeSn with a strain relaxation of 75%; the Sn contents of (b1), (b2), and (b3) are 12.6%, 8.1%, and 6.4%, respectively. Reproduced with permission from [162], IOP Publishing, 2012.
Nanomaterials 11 02556 g013
Figure 14. SIMS result for the GeSn sample with an Sn content of up to 22.3% (the maximum Sn contents for regions I, II, and III were 11.9%, 15.5%, and 22.3%, respectively). Reproduced from [113], Springer Nature, open access, 2018.
Figure 14. SIMS result for the GeSn sample with an Sn content of up to 22.3% (the maximum Sn contents for regions I, II, and III were 11.9%, 15.5%, and 22.3%, respectively). Reproduced from [113], Springer Nature, open access, 2018.
Nanomaterials 11 02556 g014
Figure 15. TEM images for Ni–GeSn interface (a) annealing at 400 °C without C and (b) annealing at 400 °C with C. Reproduced from [187], IOP Publishing, open access, 2015.
Figure 15. TEM images for Ni–GeSn interface (a) annealing at 400 °C without C and (b) annealing at 400 °C with C. Reproduced from [187], IOP Publishing, open access, 2015.
Nanomaterials 11 02556 g015
Figure 16. Cross-sectional schematic of a device structure for a GeSn photoconductive detector.
Figure 16. Cross-sectional schematic of a device structure for a GeSn photoconductive detector.
Nanomaterials 11 02556 g016
Figure 17. Specific detectivity for a Ge0.9Sn0.1 photoconductive detector at temperatures of 77, 160, 220, and 300 K. Reproduced from [60], OSA Publishing, open access, 2014.
Figure 17. Specific detectivity for a Ge0.9Sn0.1 photoconductive detector at temperatures of 77, 160, 220, and 300 K. Reproduced from [60], OSA Publishing, open access, 2014.
Nanomaterials 11 02556 g017
Figure 18. Specific detectivity for a GeSn photoconductive detector at the temperatures of (a) 77 K and (b) 300 K (the Sn contents for samples A–F were 12.5%, 15.9%, 15.7%, 17.9, 20.0%, and 22.3%, respectively). Reproduced with permission from [63], American Chemical Society, 2019.
Figure 18. Specific detectivity for a GeSn photoconductive detector at the temperatures of (a) 77 K and (b) 300 K (the Sn contents for samples A–F were 12.5%, 15.9%, 15.7%, 17.9, 20.0%, and 22.3%, respectively). Reproduced with permission from [63], American Chemical Society, 2019.
Nanomaterials 11 02556 g018
Figure 19. Sn content vs. wavelength of a GeSn photoconductive detector, indicating that GeSn is a promising absorber in SWIR and MWIR detection applications.
Figure 19. Sn content vs. wavelength of a GeSn photoconductive detector, indicating that GeSn is a promising absorber in SWIR and MWIR detection applications.
Nanomaterials 11 02556 g019
Figure 20. Cross-sectional schematic of typical device structure for a GeSn detector.
Figure 20. Cross-sectional schematic of typical device structure for a GeSn detector.
Nanomaterials 11 02556 g020
Figure 21. Cross-sectional schematic of a GeSn photodetector and its quantum efficiency as a function of wavelength. Reproduced with permission from [57], AIP Publishing, 2009.
Figure 21. Cross-sectional schematic of a GeSn photodetector and its quantum efficiency as a function of wavelength. Reproduced with permission from [57], AIP Publishing, 2009.
Nanomaterials 11 02556 g021
Figure 22. Specific detectivity for a Ge0.89Sn0.11 photodetector at the temperatures of 77 and 300 K. Reproduced with permission from [65], AIP Publishing, 2018.
Figure 22. Specific detectivity for a Ge0.89Sn0.11 photodetector at the temperatures of 77 and 300 K. Reproduced with permission from [65], AIP Publishing, 2018.
Nanomaterials 11 02556 g022
Figure 23. Sn content vs. cut-off wavelength of the GeSn PIN detector.
Figure 23. Sn content vs. cut-off wavelength of the GeSn PIN detector.
Nanomaterials 11 02556 g023
Figure 24. (a) Integrated PL intensity vs. excitation power density for a GeSn FP cavity laser with different cavity lengths; (b) high-resolution laser spectra for a GeSn laser with cavity lengths of 250 and 500 μm. Reproduced with permission from [70], Springer Nature, 2015.
Figure 24. (a) Integrated PL intensity vs. excitation power density for a GeSn FP cavity laser with different cavity lengths; (b) high-resolution laser spectra for a GeSn laser with cavity lengths of 250 and 500 μm. Reproduced with permission from [70], Springer Nature, 2015.
Nanomaterials 11 02556 g024
Figure 25. (a) GeSn laser spectra for samples A–G; (b) comparison of the PL and laser spectra of samples D and G. Reproduced with permission from [73], American Chemical Society, 2017.
Figure 25. (a) GeSn laser spectra for samples A–G; (b) comparison of the PL and laser spectra of samples D and G. Reproduced with permission from [73], American Chemical Society, 2017.
Nanomaterials 11 02556 g025
Figure 26. Temperature-dependent lasing spectra for a bulk GeSn laser with an Sn content of up to 22.3%; the optical injection sources were (a) a 1064 nm pulsed laser and (b) a 1950 nm pulsed laser. Reproduced from [75], OSA Publishing, open access, 2018.
Figure 26. Temperature-dependent lasing spectra for a bulk GeSn laser with an Sn content of up to 22.3%; the optical injection sources were (a) a 1064 nm pulsed laser and (b) a 1950 nm pulsed laser. Reproduced from [75], OSA Publishing, open access, 2018.
Nanomaterials 11 02556 g026
Figure 27. Summary of laser performance under 1064 and 1950 nm pulsed laser injection (the cavity widths for samples A, B, C, and D were 5 μm, 20 μm, 100 μm, and planar, respectively). Reproduced with permission from [76], ACS Publishing, 2019.
Figure 27. Summary of laser performance under 1064 and 1950 nm pulsed laser injection (the cavity widths for samples A, B, C, and D were 5 μm, 20 μm, 100 μm, and planar, respectively). Reproduced with permission from [76], ACS Publishing, 2019.
Nanomaterials 11 02556 g027
Figure 28. Maximum operation temperature vs. Sn content for optical pumped FP cavity GeSn laser (under pulsed 1064 nm laser) [70,74,75,76].
Figure 28. Maximum operation temperature vs. Sn content for optical pumped FP cavity GeSn laser (under pulsed 1064 nm laser) [70,74,75,76].
Nanomaterials 11 02556 g028
Figure 29. (a) Process flow for GeSn microdisk; (b) SEM image of GeSn microdisk with an Sn content of 12.5% (diameter was 8 μm). Reproduced with permission from [74], American Chemical Society, 2016.
Figure 29. (a) Process flow for GeSn microdisk; (b) SEM image of GeSn microdisk with an Sn content of 12.5% (diameter was 8 μm). Reproduced with permission from [74], American Chemical Society, 2016.
Nanomaterials 11 02556 g029
Figure 30. (a) Temperature-dependent lasing spectra for samples A and B (the Sn contents for samples A and B were 8.5% and 12.5%, respectively); (b,c) L–L curves for samples A and B, respectively. Reproduced with permission from [74], American Chemical Society, 2016.
Figure 30. (a) Temperature-dependent lasing spectra for samples A and B (the Sn contents for samples A and B were 8.5% and 12.5%, respectively); (b,c) L–L curves for samples A and B, respectively. Reproduced with permission from [74], American Chemical Society, 2016.
Nanomaterials 11 02556 g030
Figure 31. Fabrication process for a GeSn microdisk laser with SiNx all-around. Reproduced with permission from [80], Springer Nature, 2020.
Figure 31. Fabrication process for a GeSn microdisk laser with SiNx all-around. Reproduced with permission from [80], Springer Nature, 2020.
Nanomaterials 11 02556 g031
Figure 32. GeSn microdisk laser with removed defects under the disk. Reproduced with permission from [82], American Chemical Society, 2020.
Figure 32. GeSn microdisk laser with removed defects under the disk. Reproduced with permission from [82], American Chemical Society, 2020.
Nanomaterials 11 02556 g032
Figure 33. Maximum operation temperature vs. Sn content for an optically pumped WGM cavity GeSn laser (under pulsed 1064 nm laser).
Figure 33. Maximum operation temperature vs. Sn content for an optically pumped WGM cavity GeSn laser (under pulsed 1064 nm laser).
Nanomaterials 11 02556 g033
Figure 34. L–L curves for a photonic crystal GeSn laser with an Sn content of up to 16%. Reproduced with permission from [72], AIP Publishing, 2018.
Figure 34. L–L curves for a photonic crystal GeSn laser with an Sn content of up to 16%. Reproduced with permission from [72], AIP Publishing, 2018.
Nanomaterials 11 02556 g034
Figure 35. L–L curves for a micro-bridge GeSn laser with an Sn content of up to 16%. Reproduced with permission from [77], American Chemical Society, 2019.
Figure 35. L–L curves for a micro-bridge GeSn laser with an Sn content of up to 16%. Reproduced with permission from [77], American Chemical Society, 2019.
Nanomaterials 11 02556 g035
Figure 36. (a) Cross-sectional device structure for the first electrically injected FP cavity GeSn/SiGeSn laser; (b) calculated band structure and fundamental TE mode profile. Reproduced from [89], OSA Publishing, open access, 2020.
Figure 36. (a) Cross-sectional device structure for the first electrically injected FP cavity GeSn/SiGeSn laser; (b) calculated band structure and fundamental TE mode profile. Reproduced from [89], OSA Publishing, open access, 2020.
Nanomaterials 11 02556 g036
Figure 37. HR–XRD curves for GeSn samples with (a) 12% and (b) 15% Sn incorporation. Reproduced with permission from [217], IEEE, 2017.
Figure 37. HR–XRD curves for GeSn samples with (a) 12% and (b) 15% Sn incorporation. Reproduced with permission from [217], IEEE, 2017.
Nanomaterials 11 02556 g037
Figure 38. Process flow for Ge/GeSn vertical heterojunction pTFETs. Reproduced with permission from [99], IEEE, 2017.
Figure 38. Process flow for Ge/GeSn vertical heterojunction pTFETs. Reproduced with permission from [99], IEEE, 2017.
Nanomaterials 11 02556 g038
Figure 39. (a) RT current–voltage characteristics for GeSn p-i-n diode; (b) extracted BTBT current vs. electric field. Reproduced with permission from [99], IEEE, 2017.
Figure 39. (a) RT current–voltage characteristics for GeSn p-i-n diode; (b) extracted BTBT current vs. electric field. Reproduced with permission from [99], IEEE, 2017.
Nanomaterials 11 02556 g039
Figure 40. 3D diagram and highlights of the first GeSn FinFETs grown on a GeSnOI substrate. Reproduced with permission from [93], IEEE, 2018.
Figure 40. 3D diagram and highlights of the first GeSn FinFETs grown on a GeSnOI substrate. Reproduced with permission from [93], IEEE, 2018.
Nanomaterials 11 02556 g040
Figure 41. Schematic cross-sectional view of (a) single GeSn channel, (b) stacked GeSn nanowire pGAAFETs, and (c) process flow for devices. Reproduced with permission from [214], IEEE, 2017.
Figure 41. Schematic cross-sectional view of (a) single GeSn channel, (b) stacked GeSn nanowire pGAAFETs, and (c) process flow for devices. Reproduced with permission from [214], IEEE, 2017.
Nanomaterials 11 02556 g041
Figure 42. (a) Process flow for vertically stacked 3-GeSn nanosheet pGAAFETs; (b) top view after the fin formation; (c) RMS value for as-grown GeSn; (d) SEM image of stacked 3-GeSn nanosheets. Reproduced with permission from [91], IEEE, 2018.
Figure 42. (a) Process flow for vertically stacked 3-GeSn nanosheet pGAAFETs; (b) top view after the fin formation; (c) RMS value for as-grown GeSn; (d) SEM image of stacked 3-GeSn nanosheets. Reproduced with permission from [91], IEEE, 2018.
Nanomaterials 11 02556 g042
Figure 43. (a) Fabrication process and (b) 3D schematic of single vertical heterojunction GeSn/Ge GAA nanowire pMOSFETs. Reproduced with permission from [220], Elsevier, 2020.
Figure 43. (a) Fabrication process and (b) 3D schematic of single vertical heterojunction GeSn/Ge GAA nanowire pMOSFETs. Reproduced with permission from [220], Elsevier, 2020.
Nanomaterials 11 02556 g043
Table 1. Summary of reported B, BF2+, and P-doped GeSn via ion implantation in terms of year, institution, Sn content, doping type, doping concentration, activation temperature, and contact metal.
Table 1. Summary of reported B, BF2+, and P-doped GeSn via ion implantation in terms of year, institution, Sn content, doping type, doping concentration, activation temperature, and contact metal.
YearInstitutionSn Content (%)N-TypeP-TypeDoping Concentration (cm−3)Activation Temperature (°C)Contact MetalRef.
2011Nagoya University2–13——B: 8 × 1019350–550Ni[163]
2011CAS-IOS3——BF2+: ——400Al[164]
2012NUS and CAS-IOS2.4——P: 2.1 × 1019400Al[165]
2012NUS and CAS-IOS4.2P: 1 × 1021
BF2+: ——
400Ni[166]
2012NUS and CAS-IOS3–5.3——BF2+: >1 × 1020300–500——[167]
2013NUS2.4——P: 2.1 × 1021400Al[168]
2013NUS4.2P: >1 × 1020
BF2+: >1 × 1020
400Ni[169]
2013NUS4.2——P: ——450Ni[170]
2013NUS4.2——P: ——400Ni[171]
2013NUS and CAS-IOS5.3——BF2+: ——350Ni and Ni–Pt[172]
2013NUS and CAS-IOS4.1——BF2+: ——————[173]
2013Stanford University7P: ——
BF2+: ——
400Ti/Ni[174]
2014NUS and AM2.4——Hot P+: >1 × 1020450Ti/Ni[175]
2014NUS and AM2.6——P: >1 × 1020————[176]
2015CAS-IOS3.2——P: 7.64 × 1020500Ni/Al[177]
2016Xidian University4——BF2+: ————Ni[178]
2016CAS-IOS8——B: ——300Ni/Al[179]
2017Xidian University4——BF2+: ————Ni[180]
2017National Taiwan University8——P: ——300–350Ni[181]
2019CAS-IOS6——BF2+: ——450Ni/Al[182]
2020CAS-IOS9B: ——
P: ——
500Ni
Al/Ti/Au
[183]
2020National Chiao Tung University2.8——BF2+: ——400Al[184]
Table 4. Summary of reported GeSn photoconductive detectors in terms of Sn content, GeSn thickness, device structure, wavelength cutoff, and responsivity.
Table 4. Summary of reported GeSn photoconductive detectors in terms of Sn content, GeSn thickness, device structure, wavelength cutoff, and responsivity.
YearSn CompositionGeSn ThicknessStructureCutoffResponsivityRef.
20129%13 or 20 nmGeSn/Ge 3QWs2200 nm0.1 A/W at 5 V[58]
20140.9%327 nmBulk1800 nm——[59]
3.2%76 nm1900 nm——
7.0%240 nm2100 nm0.18 A/W at 10 V
201410%95 nmBulk2400 nm1.63 A/W at 50 V[60]
201510%95 nmBulk2400 nm0.26 A/W[61]
201912.5%140 and 660 nmBulk2950 nm2 A/W[63]
15.9%250 and 670 nm3200 nm0.044 A/W
15.7%165, 585, and 254 nm3400 nm0.0072 A/W
17.9%310, 550, and 260 nm3350 nm0.0038 A/W
20%450 and 950 nm3650 nm0.0067 A/W
22.3%380 and 830 nm3650 nm0.0032 A/W
Table 5. Summary of reported GeSn PIN detectors in terms of Sn content, GeSn thickness, device structure, wavelength cutoff, and responsivity.
Table 5. Summary of reported GeSn PIN detectors in terms of Sn content, GeSn thickness, device structure, wavelength cutoff, and responsivity.
YearSn CompositionGeSn ThicknessStructureCutoffResponsivityRef
20092%350 nmn–GeSn/i–GeSn/P-Si1750 nm-[57]
20167%200 nmp–Ge/i–GeSn/n–Ge 2200 nm0.15 A/W at 1 V[62]
10%200 nm2600 nm0.07 A/W at 1 V
201811%700 nmp–Ge/p–GeSn/i–GeSn/n–GeSn/n–Ge2650 nm0.32 A/W[65]
20198%25 nmp+–Ge/i-QWs/n+–Ge2000 nm0.2 A/W[66]
Table 6. Summary of the reported optically pumped FP cavity GeSn lasers in terms of structure, Sn content, thickness, cavity width, pumping laser, maximum operation temperature (Tmax), and threshold.
Table 6. Summary of the reported optically pumped FP cavity GeSn lasers in terms of structure, Sn content, thickness, cavity width, pumping laser, maximum operation temperature (Tmax), and threshold.
YearStructureSn (%)Thickness (nm)Cavity Width (μm)PumpingTmax (K)Threshold (kW/cm2)Ref
2015Bulk12.65605Pulsed 1064 nm901000 at 90 K
325 at 20 K
[70]
2016Hetero11260 and 7605Pulsed 1064 nm11068 at 10 K
166 at 90 K
398 at 110 K
[71]
2017Bulk7.3210 and 6805Pulsed 1064 nm77300 at 77 K[73]
9.9280 and 850140117 at 77 K
11.4180 and 660140160 at 77 K
14.4250 and 670160138 at 77 K
15.9210 and 45077267 at 77 K
16.6160, 680, and 290140150 at 77 K
17.8310, 550, and 260180171 at 77 K
2018Bulk22.3380 and 8305Pulsed 1064 nm150203 at 77 K
609 at 150 K
[75]
Pulsed 1950 nm180137 at 77 K
2018QWs13.822 (4×)——Pulsed 1950 nm20——[83]
14.431 (4×)——9025 at 10 K
480 at 90 K
2019Bulk20450 and 9705Pulsed 1064 nm120516 at 77 K[76]
20260384 at 77 K
100260356 at 77 K
planar260330 at 77 K
5Pulsed 1950 nm140132 at 77 K
2027088 at 77 K
10027047 at 77 K
planar27074 at 77 K
Table 7. Summary of the reported optically pumped WGM cavity GeSn lasers in terms of structure, Sn content, thickness, disk size, pumping laser, maximum operation temperature (Tmax), and threshold.
Table 7. Summary of the reported optically pumped WGM cavity GeSn lasers in terms of structure, Sn content, thickness, disk size, pumping laser, maximum operation temperature (Tmax), and threshold.
YearStructureSn (%)Thickness (nm)Disk Size (μm)PumpingTmax (K)Threshold (kW/cm2)Ref
2016Bulk8.58008Pulsed 1064 nm90125 at 50 K[74]
12.55608130220 at 50 K
2018Hetero1641820Pulsed 1064 nm230134 at 15 K[78]
375 at 135 K
640 at 190 K
790 at 230 K
2018Hetero14.53808Pulsed 1064 nm100300 ± 25 at 20 K
250 at 50 K
[84,86]
Pulsed 1550 nm120420 ± 10 at 20 K
MQW-A13.322 (10×)Pulsed 1064 nm10035 ± 4 at 20 K
Pulsed 1550 nm12045 ± 3 at 20 K
MQW-B13.512 (10×)Pulsed 1064 nmNo lasing
Pulsed 1550 nm20——
2020Bonded bulk5.4409Pulsed 1064 nm850.8 at 25 K[80]
12100
9CW 1550 nm721.1 at 25 K
2020Low TDD bulk75007CW 1550 nm80–9510[81,82]
8.168
10.558.9 at 25 K
811.6 at 25 K
Table 8. Summary of reported transistors with GeSn layers grown by CVD technology in terms of institution, transistor type, Sn content, subthreshold swing (SS), Ion/Ioff ratio, and VDS.
Table 8. Summary of reported transistors with GeSn layers grown by CVD technology in terms of institution, transistor type, Sn content, subthreshold swing (SS), Ion/Ioff ratio, and VDS.
YearInstitutionTransistor TypeSn Composition (%)SS (mV/dec)Ion/IoffVDS (V)Refs
2017University of Notre DameGe/GeSn p-type TFETs11 and 12.52159.2 × 103−0.5[99]
2017NUSGeSn FinFET on GeSnOI879>104−0.5[93]
2017National Taiwan UniversityVertically Stacked GeSn Nanowire pGAAFETs6 and 1084-−1[214]
2017National Taiwan UniversityGeSn N-FinFETs8138103-[94]
2018National Taiwan UniversityGeSn N-Channel MOSFETs4.5180--[215]
2018National Taiwan UniversityVertically Stacked 3-GeSn- Nanosheet pGAAFETs71085 × 103−0.5[91]
2020PGI 9Vertical heterojunction GeSn/Ge gate-all-around nanowire pMOSFETs81303 × 106−0.5[216]
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Miao, Y.; Wang, G.; Kong, Z.; Xu, B.; Zhao, X.; Luo, X.; Lin, H.; Dong, Y.; Lu, B.; Dong, L.; et al. Review of Si-Based GeSn CVD Growth and Optoelectronic Applications. Nanomaterials 2021, 11, 2556. https://doi.org/10.3390/nano11102556

AMA Style

Miao Y, Wang G, Kong Z, Xu B, Zhao X, Luo X, Lin H, Dong Y, Lu B, Dong L, et al. Review of Si-Based GeSn CVD Growth and Optoelectronic Applications. Nanomaterials. 2021; 11(10):2556. https://doi.org/10.3390/nano11102556

Chicago/Turabian Style

Miao, Yuanhao, Guilei Wang, Zhenzhen Kong, Buqing Xu, Xuewei Zhao, Xue Luo, Hongxiao Lin, Yan Dong, Bin Lu, Linpeng Dong, and et al. 2021. "Review of Si-Based GeSn CVD Growth and Optoelectronic Applications" Nanomaterials 11, no. 10: 2556. https://doi.org/10.3390/nano11102556

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop