Next Article in Journal
The Nanofication and Functionalization of Bacterial Cellulose and Its Applications
Next Article in Special Issue
Advances in Emerging Solar Cells
Previous Article in Journal
On the Analogy between Electrolytes and Ion-Generating Nanomaterials in Liquid Crystals
Previous Article in Special Issue
Nanostructured Perovskite Solar Cells
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Elucidating the Effect of Etching Time Key-Parameter toward Optically and Electrically-Active Silicon Nanowires

by
Mariem Naffeti
1,2,3,*,
Pablo Aitor Postigo
2,
Radhouane Chtourou
1 and
Mohamed Ali Zaïbi
1,3
1
Laboratory of Nanomaterials and Systems for Renewable Energies (LaNSER), Research and Technology Center of Energy, Techno-Park Borj-Cedria, Bp 95, 2050 Hammam-Lif, Tunis, Tunisia
2
Instituto de Micro y Nanotecnología, IMN-CNM, CSIC (CEI UAM+CSIC) Isaac Newton, 8, E-28760 Tres Cantos, Madrid, Spain
3
Tunis University—National High School of Engineering of Tunis, 5 Av Taha Hussein 1008 Tunis, Tunisia
*
Author to whom correspondence should be addressed.
Nanomaterials 2020, 10(3), 404; https://doi.org/10.3390/nano10030404
Submission received: 25 November 2019 / Revised: 5 January 2020 / Accepted: 6 January 2020 / Published: 25 February 2020
(This article belongs to the Special Issue Advances in Emerging Solar Cells)

Abstract

:
In this work, vertically aligned silicon nanowires (SiNWs) with relatively high crystallinity have been fabricated through a facile, reliable, and cost-effective metal assisted chemical etching method. After introducing an itemized elucidation of the fabrication process, the effect of varying etching time on morphological, structural, optical, and electrical properties of SiNWs was analysed. The NWs length increased with increasing etching time, whereas the wires filling ratio decreased. The broadband photoluminescence (PL) emission was originated from self-generated silicon nanocrystallites (SiNCs) and their size were derived through an analytical model. FTIR spectroscopy confirms that the PL deterioration for extended time is owing to the restriction of excitation volume and therefore reduction of effective light-emitting crystallites. These SiNWs are very effective in reducing the reflectance to 9–15% in comparison with Si wafer. I–V characteristics revealed that the rectifying behaviour and the diode parameters calculated from conventional thermionic emission and Cheung’s model depend on the geometry of SiNWs. We deduce that judicious control of etching time or otherwise SiNWs’ length is the key to ensure better optical and electrical properties of SiNWs. Our findings demonstrate that shorter SiNWs are much more optically and electrically active which is auspicious for the use in optoelectronic devices and solar cells applications.

Graphical Abstract

1. Introduction

In recent years, silicon nanowires (SiNWs) have aroused tremendous attention worldwide thanks to the following outstanding features: (1) Environment-friendly as second most earth-abundant materials; (2) unique dimensional structures (1 D); (3) interesting electrical and optical properties compared to bare silicon; (4) affordable fabrication; and (5) potential applications in several fields [1,2,3,4,5]. The various applications of these nanostructures may include lithium-ion batteries [6], biochemical sensors [7,8], electronics [9], catalysis [10], and solar cells [1,11].
Based upon the bottom-up and top down approaches, numerous methods have been used to fabricate SiNWs such as vapor–liquid–solid, thermal evaporation, molecular beam epitaxy, laser ablation, and lithography [12,13,14,15,16]. However, these techniques have some limitations as they generally require expensive and complex equipment, employ hazardous silicon precursors, and involve high vacuum and high temperature [17]. These features make the synthesis expensive and time-consuming and therefore hindered their applications for commercialized products. In contrast, an effective and promising synthetic method namely metal assisted chemical etching (MACE) has been proposed [2,4,18,19,20]. This technique is simple, rapid, low cost, and suitable for both industrial and laboratory scales. Moreover, MACE allows to obtain high crystalline SiNWs quality, as well as an easy control of the different parameters including orientation, doping type, length, and diameter.
The MACE method basically consists of two procedures, the formation of metal catalysts and the subsequent etching process which can be implemented either in a single step (1-MACE) [10,21] or in two steps (2-MACE) [17,18,19,20]. Moreover, the formation method, etching time, etching temperature, metal deposition time, and lastly the etchants’ concentrations have a crucial influence on the morphology of SiNWs [2,5,17]. Ghosh et al. reported that SiNWs grown by MACE are usually covered with silicon nanocrystals due to the side wall etching and which are the origin of quantum confinement (QC) effects owing to their small dimensions [20].
Recently, several research groups have succeeded in the synthesis of optically-active SiNWs exhibiting a significant PL emission and a very low reflectance [3,4,17]. On the other hand, Qi et al. have demonstrated the fabrication of electrically-active SiNWs through heavily doped SiNWs with rough surface where a high Schottky barrier exists at the interface of SiNWs and the metal [22]. Nevertheless, further investigation is required to explore both optically and electrically active SiNWs. Indeed, a number of studies have investigated the optical and electrical properties of SiNWs [23,24,25]. It has been shown that SiNWs, obtained by 1-MACE in AgNO3, HF, and H2O2 solution from a P+ type starting Si wafer, possess such a remarkable low reflectance and the electronic properties are affected by SiNWs’ homogeneity [23]. Otherwise, Hutagalung et al. also reported a low reflectance of less than 10% obtained by SiNW arrays synthesized via 1-MACE in an AgNO3 and HF solution at 60 °C from an n-type Si, whereas its I–V characteristics show linear ohmic behavior [24]. Therefore, careful production of SiNWs, by tuning the different parameters such as the etching time, etchant composition and concentration, etching temperature, and the starting Si wafer characteristics, is important to ensure good physical properties of SiNWs [23,24,25]. However, these previous works have neglected the PL study, as well as a deeper insight and understanding of the electrical properties via determining the electrical parameters is missing.
In this work, we contribute our recent results on the fabrication of optically and electrically-active SiNWs which exhibit a strong PL emission, remarkable antireflections properties, and interesting electrical properties. First, a detailed explanation of the fabrication process is reported and then vertical aligned SiNWs with relatively high crystallinity were obtained through the 2-MACE method. The effect of etching time key parameter on SiNWs’ filling ratio and length, optical, and electrical properties were investigated and evaluated. A broadband PL emission and low reflectance from these wires were obtained. Later on, the I–V characteristics and the electrical parameters were carefully determined and studied. Our findings could consider the optimized SiNWs as a promising candidate in PV and optoelectronic applications due to their unique structural, optical, and electrical properties.

2. Materials and Methods

2.1. Reagents and Materials

The chemical reagents used in this work for the cleaning or etching process such as acetone, ethanol, isopropanol, hydrofluoric acid (HF, 40%), silver nitrate (AgNO3), hydrogen peroxide (H2O2, 35%), and nitric acid (HNO3, 65%) were purchased from Sigma-Aldrich (Madrid, Spain). All of them were used without any purification. The single-side polished p-type silicon wafers were purchased from Siltronics (Archamps, France) and finally deionized water used in all the experiments was supplied by local sources.

2.2. Samples Preparation

SiNW arrays were synthesized by the Ag-assisted chemical etching method of (100) oriented p-type silicon wafers with the resistivity of 1–20 Ω cm. The fabrication process is as follows: (1) The silicon wafers were sequentially cleaned in acetone, ethanol, isopropanol, and deionized water (DI) in an ultrasonic bath for 15 min each. The cleaned wafers were then immersed in diluted HF for 3 min. (2) Silver nanoparticles were deposited onto the Si substrate using an aqueous solution composed of 4.8 M HF and 0.035 M AgNO3 for 1 min. (3) Silicon wafers covered with AgNPs were dipped into the etching solution of 4.8 M HF and 0.5 M H2O2 at room temperature during 20 (sample S1), 40 (S2), 60 (S3), 80 (S4), 100 (S5), and 120 min (S6). (4) The resulting samples were rinsed with DI and then immersed in nitric acid for 15 min to remove the silver nanoparticles and dendrites. Finally, the as-formed homogeneous black SiNWs were washed again with DI and dried with nitrogen.

2.3. Characterizations

The samples were studied using several techniques. The morphologies of the synthesized SiNWs were characterized using scanning electron microscopy (SEM, FEI Verios 460, FEI Europe B.V., Eindhoven, Netherlands). The observations were performed in a top view, cross-section, and 30° tilt view. X-ray diffraction (XRD) measurements were performed using an automated Bruker D8 advance X-ray diffractometer (Bruker, Karlsruhe, Germany) with Cu Kα (λ = 1.54 Å) in 2θ ranging from 20 to 80°. Photoluminescence spectroscopic analyses of SiNWs were made with a 405 nm laser wavelength and all the measurements were done at room temperature (RT). Moreover, we have used an analytical model to deduce the SiNCs size through PL spectra of the fabricated samples. The FTIR analyses were taken on an absorbance mode using Bruker IFS66v/s FTIR spectrometer (Bruker, Karlsruhe, Germany) and investigated in the 400–4000 cm−1 range with a step of 4 cm−1. Reflectance measurements were performed via Perkin Elmer Lambda 950 spectrophotometer (Perkin Elmer, Inc., Waltham, MA, USA). The current–voltage (I–V) measurements were measured via Keithley 2400 source meter (Keithley, Austin, TX, USA) in the dark and at room temperature.

3. Results and Discussion

3.1. Detailed Mechanism of SiNWs’ Formation

Two-step MACE was introduced to prepare vertically aligned SiNWs which is a simple, reproducible, and inexpensive process using Ag catalysts in the HF/H2O2 etching agent. To better understand SiNWs’ formation mechanism, the properties of Si, Ag+, Ag, and H2O2 in HF solution should be understood. Figure 1 shows a scheme of the potential distribution of Si, Ag+/Ag, and H2O2/H2O redox pairs in HF solution when the energy is referred to the standard hydrogen electrode potential (SHE).
The energies of the valence band (VB) and conduction band (CB) of Si are 0.67 and −0.45 eV, respectively while the potential energies of the redox pairs of Ag+/Ag and H2O2/H2O are 0.8 and 1.76 V, respectively [26].
The growth mechanism of SiNW arrays was elucidated in this section and depicted in Figure 2.
After the cleaning stages, 2-MACE consists first of all in dipping the silicon wafers in a HF/AgNO3 aqueous solution producing AgNPs deposition. Indeed, the AgNO3 metallic salt come apart in the HF aqueous solution to yield metal ions Ag+. The Ag+ ions close to the Si surface extract electrons from the VB of Si resulting in a small silver nuclei on the surface of the silicon (Figure 2a). These electrons transfer continues to take place as Ag is more electronegative than Si leading to a large silver nuclei growth and then a formation of Ag-nanoclusters or a continuous silver layer. Furthermore, the excess of electrons extract forms an accumulation of holes beneath and around the catalyst.
This leads to silicon oxidation followed by HF dissolution into silicon hexafluoride ions ( Si F 6 2 ) while unveiling a newly exposed Si coming in contact with the Ag catalysts which will be further etched in the second step of the continuous MACE process. The synchronized mechanism of Ag+ reduction (cathodic process) and silicon oxidation (anodic process) can be described by the following equations:
cathode reaction Ag+ + e → Ag E0 = 0.79 V (vs. SHE)
anode   reaction Si + 6 HF Si F 6 2 + 4 e + 6 H +
overall   reaction Si + 6 HF + 4 Ag + Si F 6 2 + 4 Ag + 6 H +
At a certain while, the hole injection rate attenuates because almost all Ag+ ions in the vicinity of the silicon surface are reduced into Ag. Therefore, silicon oxidation slows down causing a decrease in the Si etching rate which requires a complementary hole injection species to permit the continuation of the silicon nanostructuring. Subsequently, H2O2 is added to the etching solution because it is a strong oxidant allowing a hole injection instead of Ag ions. When the silicon wafer covered with the silver, dendritic layer was put into the HF/H2O2 aqueous solution, the second step in MACE mechanism which corresponds to the etching process starts to take place. Since the redox potential of H2O2 is more positive than that of Ag, H2O2 captures electrons from the previously nucleated Ag particles and reduces to H2O. Hence, Ag is oxidized immediately to Ag+, this oxidation allows the solution feeding with Ag+ ions which enhances the hole injection. The silicon is then locally oxidized into SiO2 and dissolved simultaneously by HF, so the AgNPs sink downwards vertically along the (100) direction in the pits thus formed leading to the generation of vertical SiNWs (Figure 2c). This is contrary to porous silicon (PS) which displays a porous surface morphology due to the absence of AgNO3 in the etching solution and the slow etching rate. The redox reactions taking place during etching process can be described as follows [27,28]:
cathode reaction H2O2 + 2e + 2H+ → 2H2O E0 = 1.76 V (vs. SHE)
anode reaction Si + 2H2O → SiO2 + 4H+ + 4e
SiO 2 + 6 HF Si F 6 2 + 2 H 2 O + 2 H +
Overall   reaction Si + 2 H 2 O 2 + 6 F + 4 H + Si F 6 2 + 4 H 2 O
Using Reaction (7), the potential ΔE of etching process is as follows:
Δ E = Δ E 0 0.059 4 { log [ 5 iF 6 2 ] [ H 2 O 2 ] 2 [ H + ] 4 [ F ] 6 }
According to this equation, the increase of H2O2 and HF concentrations enhance the reaction potential with an increase in the etching rate. However, the etching rate may not increase infinitely and it is preferable to choose an appropriate range of etchant concentration to ensure good quality SiNWs formation. It is suggested that the self-grown SiNCs on SiNWs form due to sidewall etching such us the extra Ag+ ions spread through the nanowires and trap electrons from the sidewalls leading to a lateral etching of SiNWs and consequently formation of porous SiNWs decorated with SiNCs [20]. Ultimately, the removal of AgNPs and dendrites by immersing the samples in an HNO3 aqueous solution reveals highly oriented one-dimensional (1D) SiNWs. The corresponding reaction is expressed as:
3Ag + 4HNO3 → 3AgNO3 + NO + 2H2O

3.2. Etching Time Effect on Morphology of SiNW Arrays

In order to investigate the effect of etching time on SiNWs morphology and structure, the AgNO3, HF, and H2O2 concentrations were fixed in both deposition and etching steps. SEM images (top view, cross-section, and tilt view at 30°) of the as-prepared SiNWs etched in different times (20–120 min), are shown in Figure 3. The SiNWs dependence on etching time was explored. Forest-like SiNW arrays can be noticed from the top view images (Figure 3a–f). The NWs etched during short etching time are isolated from each other. However, the tips of the nanowires congregate together with time increment to form bundles. This bundle-like structures distribute uniformly on the whole wafers and could be confirmed from the tilt view images. The possible reasons behind this conglomeration may be attributed to Van der Waals attraction between the nanowires [29,30] as well as to the increase in the length of SiNWs that likely causes them to bend to form bouquets under the action of gravity. Otherwise, it was also reported that some nanowires could remain unattached due to inhomogeneous etching induced by a random silver particle distribution [31]. The calculated values of average volume filling ratio (VFR) at the air/SiNW arrays interface versus etching time is plotted in Figure 4a and it showed the decreasing of VFR when the etching time increase. For example, VFR is 0.45 in dense SiNWs at 20 min decreases to 0.33 in convergent SiNW arrays at 120 min of etching time. This is due to the bundle-like structures of the wires in prolonged etching times. Chang et al. [32] reported a similar variation in VFR, however, as a function of AgNO3 concentrations.
Vertically aligned SiNWs with good uniformity were clearly seen in the cross-section images (Figure 3m–r). The length of nanowires significantly increases from 5.48 to 20.84 μm with increasing the etching from 20 to 120 min whereas the wire diameter is approximately in the range of one hundred to a very few hundreds of nanometers.
Hence, the linear relationship of SiNWs length versus etching time plotted in Figure 4b gives an etching rate close to 0.152 µm/min.
This linear relation has been investigated in literature but with different etching rate values [2,18,33]. The possible reasons behind this increase of SiNWs length with etching time was ascribed to the fact that etching was given more time to proceed and the solution had enough oxidizing species to oxidize and dissolve the formed SiO2. We retain that doubling the etching time would not necessarily double the length of SiNW [34,35]. From tilt view images, we can observe that SiNWs are covered by numerous porous structures especially on their tips due to the additional etching pathways via the re-nucleation of the AgNPs throughout the SiNWs.
These porous structures are the origin of quantum confinement effects owing to their small dimensions. SiNW arrays grown by MACE usually show these porous structures called silicon nanocrystals.
Figure 5 displays XRD patterns of untreated Si wafer and SiNWs. A unique sharp peak at 69° is observed for both samples, which is indexed to a (400) silicon plane. However, the silicon nanowires give a high peak intensity than that of Si wafer. This suggests high quality crystalline nanowires.
On the other hand, no characteristic peaks of silver are observed in the XRD pattern which confirms the completely removal of silver nanoparticles by nitric acid.

3.3. Photoluminescence Spectroscopy

The room temperature PL measurements of the as-synthesized samples were carried out in order to study the etching time dependency on photoluminescence properties. The SiNWs PL spectra ranging from 1.4 to 2.3 eV are shown in Figure 6.
Broad PL emission bands were recorded with a maximum around 1.78 eV and a full width at half maximum (FWHM) of 0.24 eV. Their shape is a gaussian and did not present any significant shift. However, one can see a clear degradation in the PL intensity when increasing etching time.
The highest PL intensity was obtained for 20 and 40 min which are almost 26 times stronger compared to the prolonged etching time. Therefrom, the opposite trend between the integrated PL intensity and etching duration is plotted in Figure 7.
To explain the origin of this PL emission, several mechanisms have been proposed including the quantum confinement (QC) effects and the presence of defects in an SiOx/Si interface and/or in the surface of the oxide related to the Si–O–Si bonds [36,37]. However, the QC effects, which enhance the radiative recombination of excitons, remain the most approved model which dictates that the crystallite Si size should be less than the Bohr radius of the free exciton of bulk silicon [17]. In the present work, the SiNW diameters are within one hundred to a very few hundred of nanometers, these values are much larger than the Bohr radius of excitons (5 nm) present in Si crystals. Taking into account the indirect band gap of SiNWs and their large diameters, the observed visible PL is unlikely to originate from the SiNWs and the origin of this light emission must be more complex. This is dissimilar to one earlier report that attribute the visible PL to the radiative recombination at the SiNWs itself [38]. On the other hand, it is widely reported that the SiNWs are decorated with self-grown silicon nanocrystals whose dimensions are smaller than the exciton Bohr diameter in silicon. These SiNCs cause a robust quantum confinement leading to the appearance of observed PL at room temperature [2,3,4,20]. In the current study, the nanocrystal size resulted from the spectral position of the PL peak by using the equation described below:
E = E g + c d α
where E is PL peak position (eV), Eg is the band gap of c-Si (1.12 eV), d is the SiNC size (nm), α and C are constants. Several works have reported different values of these constants from the SiNWs/NCs [19,39,40,41]. Comparison of SiNCs size values obtained by different parameters from earlier reports of the literature is investigated and the evaluated NC-sizes are summarized in Table 1. One can see clearly that all the estimated NCs diameter values are less than 5 nm and close to 2~4 nm regardless of the formula used (Table 1). According to these below values, we can assert that in these samples, the occurrence of QC in SiNCs is the origin of the recorded PL emission. Note that similar SiNCs size and PL peak position have been recently carried out [2,3,41] due to the known dependence of a SiNC band gap on its size.
Interestingly, the compatibility between the samples (S1–S6) in terms of peak position, FWHM, NCs size, and the variation of the PL intensity indicate that these latter derive from different size distribution and densities of the inseparable set of SiNWs-NCs. This is confirmed by the reduction of SiNWs amount as seen above in the SEM images and more precisely by the reduction of the volume filling ratio over etching time. These latter indicate that the monotonous decrease of the PL intensity over etching duration is interpreted as restriction and diminution of excitation volume which in turn is proportional to the amount of effective light-emitting crystallites [17,41,42].
Therefore, an appropriate geometry is required to ensure PL and our findings demonstrate that shorter SiNWs are much more optically active. It is worth noting that the light emitted from the SiNW arrays upon laser irradiation is visible to the naked eye and appears orange obviously for the samples corresponding to 20 and 40 min etching time. This emission is attributed to the radiative recombination of excitons in small SiNCs present in the nanowire sidewalls in terms of a QC model as explained above.

3.4. FTIR Analysis

FTIR spectroscopy was used to study the surface composition of the as prepared SiNWs at different etching durations. An untreated silicon substrate was utilized as background to the measurements and the spectra were taken in absorption mode in the 400–4000 cm−1 spectral range as shown in Figure 8.
All the spectra are closely similar with an increase in the absorbance bands intensity versus increasing etching time or otherwise with increasing SiNWs length. The most intense broad peak appears in the region between 1000–1300 cm−1 and is assigned to the Si–O–Si asymmetric stretching (AS) vibrations. This observed signal can be classified into a strong band at 1080 cm−1 attributed to AS1 vibration mode and a shoulder at 1200 cm−1 related to AS2 vibration mode. AS1 results from the motion of the adjacent oxygen atoms moving in phase with one another while at AS2 the oxygen atoms move 180° out of phase with one another. The appearance of this shoulder is a feature of the IR spectra of SiOx material where x value equals to one or higher [43].
These Si–O–Si bonding, introduced during the electrochemical etching, were found to be more popular with increasing etching time. Hence, Figure 9 presents the evolution of peaks intensity of dominant bands existing in the spectra.
This increase of intensities indicates the continuity of chemical etching even during extended durations and then the formation of Si–O radicals becomes consistent when rising the immersion duration in HF/H2O2. Here, it involves that the charge transfer faces some difficulty in order to establish an electrical equilibrium leading to a decay in etching rate [44]. This behavior is in accordance with PL results and hence we could say that PL deterioration in higher etching time is related to the change in the distribution and density of the inseparable set of SiNWs-NCs owing to this etching rate decay leading to a restriction of excitation volume and therefore reduction of luminescent crystallites’ density.
The broad peak at 465cm−1 was related to Si–O–Si, while the broad band within 3000–3700 cm−1 is attributed to the stretching of the O−H bonds in SiOH groups and surface adsorbed H2O. Whereas the narrow band at 3747 cm−1, is attributed to the stretching mode of surface free OH groups. The weak IR absorption peaks situated at around 900, 2125, and 2258 cm−1 corresponds to Si–H bending vibrations, Si–Hx bonds and to Si–H stretching mode in O3–SiH, respectively. Finally, the tiny absorption band barely detectable at 1630 cm−1 is attributed to C−O bonds which mainly came from the SiNWs surface contamination from environment and can hardly be avoided [2,21,28,43]. We notice that the surface states assigned to Si−O and Si−H chemical bonds are popular in all samples.

3.5. Reflectance Analysis

Figure 10 shows the reflectance spectra of the untreated Si wafer and the synthesized SiNWs with various heights over the wavelength range of 250–700 nm which covers the main spectral irradiance of sunlight that is useful for Si solar cells.
When compared to the untreated bulk Si, all the etched samples show a significant lower reflectivity which is consistent with the colour changes from metallic grey to dark black as shown in the inset. The average reflectance for bulk Si is about 50%~90% in the UV–visible range while it reaches less than 15% for all the etched samples. Hence, such nanowires reduce reflection losses and further enhance the carrier collection which is extremely beneficial for developing high efficiency solar cells requiring good antireflective properties.
The sharp suppression in the reflectance is ascribed to three important factors: (i) The gradual variation towards the refractive index from air (n ≈ 1) to SiNWs and to Si substrate (n ≈ 3.42), (ii) the tapered morphology of silicon wires leading to light trapping due to the multiple reflections back and forth in the inner surface and (iii) the sub-wavelength structures for additional light trapping within the NWs [17,33,45]. The observed peaks of Si wafer at 275 and 367 nm come from the inter-band transitions of Si [32,45]. In addition, as readily seen in the figure, all the reflectance values of SiNW arrays with various lengths are almost close and low (9–15%) owing to the tapered NWs structure. The slightly higher reflectance observed for prolonged etching time is attributed to the coalescence of NWs at the apex and bundles formation as shown above in SEM images [33,45].

3.6. I–V Measurements

Electrical properties of SiNWs samples with various lengths of nanowires are studied through measurement of current–voltage (I–V) characteristics at room temperature and in the dark. First, Schottky contact was formed between metal and semiconductors (Al/Si and Ag/SiNWs). The I–V measurements of Ag/SiNWs/Si/Al structure from −4 to 4 V are depicted in Figure 11.
All the curves corresponding to NWs show a diode-like nature with an obvious rectifying behaviour. Interestingly, the junctions based on these SiNWs etched at different etching time display considerably the same properties at different areas on the junction. This latter observation highlights the uniformity of the junctions and could be supported by the distribution of a uniform large area of SiNWs on the whole wafers as shown above in the SEM images. On the other hand, the fabrication of other devices with maintaining the same conditions pointed out an electrical reproducibility property of the junctions. One can note that SiNWs fabrication with good uniformity/reproducibility are a MACE feature [4,34]. It is worth noting that the observed rectifying behaviour of these SiNWs is similarly shown in the literature for porous silicon [42,46,47,48]. Importantly, the nonlinear I–V characteristics and the rectifying event are mainly controlled by the SiNW layers and ascribed to Ag/SiNWs:p-Si since the Si/Al interface was proved to be ohmic [47,48]. The electron flow into the SiNW layers from Ag and recombine with holes, leaving negatively charged electrons in SiNWs which leads to the N-type role of SiNWs with respect to Si. Thus, the formed depletion layer causes the rectifying behaviour. Another reason is that the quantum confinement coming from the SiNCs in the SiNWs increases the band gap in the SiNWs side which creates a potential barrier leading to the diodic behaviour [49,50]. It is clear to notice from the I–V curves the increase of rectification extent (current intensity) in the forward bias with etching time or in other words with SiNWs’ length until reaching a threshold time (length) which corresponds to 60 min (≈12 µm), then the current intensity decreases. Therefore, we can assume that the electrical transport properties of the device are governed by SiNWs’ contribution. The current decrease for samples with higher etching times is attributed to the increase of SiNWs length. The wires, acting as carries trapped, will increase and form a high resistive region which lead to the decrease of the current flowing through the SiNW layers.
Therefore, a well-defined moderate length of SiNWs is required to ensure better electrical properties. Electrical parameters of the device are obtained using the conventional thermionic emission model (TE) as expressed:
I = I s ( exp ( q ( v IR s ) η kT ) 1 )
where q is the electronic charge, η is the ideality factor, Rs is the series resistance, k is the Boltzmann constant, T is the absolute temperature, and Is is the reverse saturation current given by:
I s = aA * T 2 exp ( q φ b kT )
Herein, a is the diode area, A* is Richardson constant (≈32 A cm−2 K−1 for p-type Si) and φ b is barrier height. As eV >> ηKT at room temperature, Equation (11) can be rewritten as:
I = I s exp ( q   V η kT )
The ideality factor η was estimated from the slope of the linear region of the plot of ln(I) vs. V (Figure 12) based on Equation (13). The saturation current was derived by extrapolating ln(I) vs. V plot to V = 0 while φ b was calculated through Equation (12).
In order to have more accurate ideality factor values owing to the non-linear part of ln(I) vs. V plots and to determine the series resistance (Rs), the Cheung’s functions were utilized [51,52]. Thus,
dV d ( LnI ) = R s I + η kT q
Figure 13 presents the experimental dV d ( LnI ) vs. I plots, the Rs and η were determined as the slope and y axis intercept, respectively.
The deduced values of Is, η, φ b , and R s for samples S1–S6 are summarized in Table 2 and show a notable dependence of SiNWs’ length. According to the formula in Equation (11), high I require high Is and small η and Rs. The ideality factor values estimated from Cheung’s functions are nearly matched with I–V method values. These relatively high values could be attributed to series resistance, barrier inhomogeneities, interfacial defects, or existence of an oxide layer spontaneously produced during the synthesis [51,52]. These latter give sense to the deviation from ideality and η deterioration especially for higher etching times. A similar trend is noticed for barrier height. The results point out that the electrical parameters depend upon the thicknesses of SiNWs and the use of shorter SiNWs not exceeding 12 µm (corresponding to 40 min etching time) can result in better electrical properties. One can note that these η values are lower compared to those reported on porous silicon [47]. This is suggested to be related to the unique properties of SiNWs compared to PS which is promising for optoelectronic devices application.

4. Conclusions

In summary, optically and electrically-active SiNWs with strong PL emission, remarkable antireflections properties, and interesting electrical properties are successfully synthesized via 2-MACE method. First, an expanded explanation of the fabrication process is reported and then a systematic study is presented to visualize the effect of varying etching times on morphological, structural, optical, and electrical properties of SiNWs. The NWs length was increased by increasing etching time with a rate about 152 nm/min, whereas the wires filling ratio decreased. An analytical model is utilized to calculate the sizes of the self-grown silicon nanocrystallites which are the origin of the observed photoluminescence emission. FTIR spectroscopy supports PL results and confirms that the PL intensity degradation for expanded durations is owing to the restriction of excitation volume and therefore reduction of luminescent SiNCs. Therefore, appropriate SiNWs in terms of length and geometry is strongly required to ensure good PL. When compared to the silicon wafer, the formed SiNWs demonstrate a strong decrease of the reflectance to 9–15%. This strong reduction of reflectance certifies that SiNWs are an excellent candidate for photovoltaic cells. I–V characteristics revealed that the rectifying behaviour of the uniform-reproducible junctions and the diode parameters (Is, n, φb, and Rs) calculated from conventional thermionic emission and Cheung’s model are found to depend significantly on the geometry of SiNWs. In brief, we deduce that etching time or otherwise SiNWs’ length plays a key role on optical and electrical properties of SiNWs. Hence, judicious optimisation of these latter parameters is robustly required for better SiNWs’ physical properties. Our findings demonstrate that shorter SiNWs are much more optically and electrically effective which pave the way for its application in the field of optoelectronic devices and solar cells.

Author Contributions

All authors have read and agree to the published version of the manuscript. M.N. performed the experiments, undertook the analysis, and wrote the manuscript. M.A.Z. and P.A.P. contributed to the analysis and supervised the progress of the research. P.A.P. and R.C. contributed reagents/materials/analysis tools.

Funding

This research received no external funding.

Acknowledgments

The authors would like to acknowledge the Tunisian Ministry of Higher Education and Scientific Research as well as University of Tunis. P.A. Postigo acknowledge the service from the X-SEM Laboratory at IMN and funding from MINECO under project CSIC13-4E-1794 with support from EU (FEDER, FSE).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Sahoo, M.K.; Kale, P. Integration of silicon nanowires in solar cell structure for efficiency enhancement: A review. J. Mater. 2019, 5, 34–48. [Google Scholar] [CrossRef]
  2. Cong, L.T.; Ngoc Lam, N.T.; Giang, N.T.; Kien, P.T.; Dung, N.D.; Ha, N.N. N-type silicon nanowires prepared by silver metal-assisted chemical etching: Fabrication and optical properties. Mater. Sci. Semicond. Process. 2019, 90, 198–204. [Google Scholar] [CrossRef]
  3. Ashrafabadi, S.; Eshghi, H. Single-crystalline Si nanowires fabrication by one-step metal assisted chemical etching: The effect of etching time and resistivity of Si wafer. Superlattices Microstruct. 2018, 120, 517–524. [Google Scholar] [CrossRef]
  4. Lajvardi, M.; Eshghi, H.; Izadifard, M.; Ghazi, M.E.; Goodarzi, A. Effects of silver and gold catalytic activities on the structural and optical properties of silicon nanowires. Phys. E Low-Dimens. Syst. Nanostruct. 2016, 75, 136–143. [Google Scholar] [CrossRef]
  5. Amri, C.; Ouertani, R.; Hamdi, A.; Chtourou, R.; Ezzaouia, H. Effect of porous layer engineered with acid vapor etching on optical properties of solid silicon nanowire arrays. Mater. Des. 2016, 111, 394–404. [Google Scholar] [CrossRef]
  6. Baek, S.-H.; Park, J.-S.; Jeong, Y.-M.; Kim, J.H. Facile synthesis of Ag-coated silicon nanowires as anode materials for high-performance rechargeable lithium battery. J. Alloy. Compd. 2016, 660, 387–391. [Google Scholar] [CrossRef]
  7. Schwartz, M.; Nguyen, T.C.; Vu, X.T.; Wagner, P.; Thoelen, R.; Ingebrandt, S. Impedimetric Sensing of DNA with Silicon Nanowire Transistors as Alternative Transducer Principle. Phys. Status Solidi A 2018, 215, 1700740. [Google Scholar] [CrossRef]
  8. Mirzaei, A.; Kang, S.Y.; Choi, S.-W.; Kwon, Y.J.; Choi, M.S.; Bang, J.H.; Kim, S.B.; Kim, H.W. Fabrication and gas sensing properties of vertically aligned Si nanowires. Appl. Surf. Sci. 2018, 427, 215–226. [Google Scholar] [CrossRef]
  9. Borgne, B.L.; Salaün, A.C.; Pichon, L. Electrical properties of self-aligned gate-allaround polycrystalline silicon nanowires field-effect transistors. Microelectron. Eng. 2016, 150, 32–38. [Google Scholar] [CrossRef] [Green Version]
  10. Amdouni, S.; Cherifi, Y.; Coffinier, Y.; Addad, A.; Zaïbi, M.A.; Oueslati, M.; Boukherroub, R. Gold nanoparticles coated silicon nanowires for efficient catalytic and photocatalytic applications. Mater. Sci. Semicond. Process. 2018, 75, 206–213. [Google Scholar] [CrossRef]
  11. Yu, P.; Wu, J.; Liu, S.; Xiong, J.; Jagadish, C.; Wang, Z.M. Design and fabrication of silicon nanowires towards efficient solar cells. Nanotoday 2016, 11, 704–737. [Google Scholar] [CrossRef]
  12. Latu-Romain, L.; Mouchet, C.; Cayron, C.; Rouviere, E.; Simonato, J.P. Growth parameters and shape specific synthesis of silicon nanowires by the VLS method. J. Nanopart. Res. 2008, 10, 1287–1291. [Google Scholar] [CrossRef]
  13. Pan, H.; Lim, S.; Poh, C.; Sun, H.; Wu, X.; Feng, Y.; Lin, J. Growth of Si nanowires by thermal evaporation. Nanotechnology 2005, 16, 417–421. [Google Scholar] [CrossRef]
  14. Fuhrmann, B.; Leipner, H.S.; Hoche, H.-R. Ordered arrays of silicon nanowires produced by nanosphere lithography and molecular beam epitaxy. Nano Lett. 2005, 5, 2524–2527. [Google Scholar] [CrossRef] [PubMed]
  15. Kokai, F.; Inoue, S.; Hidaka, H.; Uchiyama, K.; Takahashi, Y.; Koshio, A. Catalyst-free growth of amorphous silicon nanowires by laser ablation. Appl. Phys. 2013, 112, 1–7. [Google Scholar] [CrossRef]
  16. Hamdana, G.; Südkamp, T.; Descoins, M.; Mangelinck, D.; Caccamo, L.; Bertke, M.; Wasisto, H.S.; Bracht, H.; Peiner, E. Towards fabrication of 3D isotopically modulated vertical silicon nanowires in selective areas by nanosphere lithography. Microelectron. Eng. 2017, 179, 74–82. [Google Scholar] [CrossRef]
  17. Lajvardi, M.; Eshghi, H.; Ghazi, M.E.; Izadifard, M.; Goodarzi, A. Structural and optical properties of silicon nanowires synthesized by Ag-assisted chemical etching. Mater. Sci. Semicond. Process. 2015, 40, 556–563. [Google Scholar] [CrossRef]
  18. Vinzons, L.U.; Shu, L.; Yip, S.; Wong, C.-Y.; Chan, L.L.H.; Ho, J.C. Unraveling the Morphological Evolution and Etching Kinetics of Porous Silicon Nanowires During Metal-Assisted Chemical Etching. Nanoscale Res. Lett. 2017, 12, 1872. [Google Scholar] [CrossRef] [Green Version]
  19. Behera, A.K.; Viswanath, R.N.; Lakshmanan, C.; Madapu, K.K.; Kamruddin, M.; Mathews, T. Synthesis, microstructure and visible luminescence properties of vertically aligned lightly doped porous silicon nanowalls. Microporous Mesoporous Mater. 2019, 273, 99–106. [Google Scholar] [CrossRef]
  20. Ghosh, R.; Pal, A.; Giri, P.K. Quantitative analysis of the phonon confinement effect in arbitrarily shaped Si nanocrystals decorated on Si nanowires and its correlation with the photoluminescence spectrum. J. Raman Spectrosc. 2015, 7, 624–631. [Google Scholar] [CrossRef]
  21. Leontis, I.; Othonos, A.; Nassiopoulou, A.G. Structure, morphology, and photoluminescence of porous Si nanowires: Effect of different chemical treatments. Nanoscale Res. Lett. 2013, 1, 383. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  22. Qi, Y.; Wang, Z.; Zhang, M.; Wang, X.; Ji, A.; Yang, F. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching. AIP Adv. 2014, 3, 031307. [Google Scholar] [CrossRef]
  23. Nafie, N.; Lachiheb, M.; Bouaicha, M. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires. Nanoscale Res. Lett. 2012, 1, 393. [Google Scholar] [CrossRef] [Green Version]
  24. Hutagalung, S.D.; Fadhali, M.M.; Areshi, R.A.; Tan, F.D. Optical and Electrical Characteristics of Silicon Nanowires Prepared by Electroless Etching. Nanoscale Res. Lett. 2017, 12, 425. [Google Scholar] [CrossRef] [Green Version]
  25. Hasan, M.; Huq, M.F.; Mahmood, Z.H. A review on electronic and optical properties of silicon nanowire and its different growth techniques. SpringerPlus 2013, 2, 151. [Google Scholar] [CrossRef] [Green Version]
  26. Huang, Z.; Geyer, N.; Werner, P.; de Boor, J.; Gösele, U. Metal-Assisted Chemical Etching of Silicon: A Review. Adv. Mater. 2010, 2, 285–308. [Google Scholar] [CrossRef] [PubMed]
  27. Yang, C.; Wang, J.; Mei, L.; Wang, X. Enhanced Photocatalytic Degradation of Rhodamine B by Cu2O Coated Silicon Nanowire Arrays in Presence of H2O2. J. Mater. Sci. Technol. 2014, 11, 1124–1129. [Google Scholar] [CrossRef]
  28. Lin, L.; Guo, S.; Sun, X.; Feng, J.; Wang, Y. Synthesis and Photoluminescence Properties of Porous Silicon Nanowire Arrays. Nanoscale Res. Lett. 2010, 11, 1822–1828. [Google Scholar] [CrossRef] [Green Version]
  29. Brahiti, N.; Hadjersi, T.; Amirouche, S.; Menari, H.; ElKechai, O. Photocatalytic degradation of cationic and anionic dyes in water using hydrogen-terminated silicon nanowires as catalyst. J. Hydrog. Energy 2018, 43, 11411–11421. [Google Scholar] [CrossRef]
  30. Bai, F.; Li, M.; Song, D.; Yu, H.; gosh, B.; Li, Y. One-step synthesis of lightly doped porous silicon nanowires in HF/AgNO3/H2O2 solution at room temperature. J. Solid State Chem. 2012, 96, 596–600. [Google Scholar] [CrossRef]
  31. Qiu, T.; Wu, X.L.; Mei, Y.F.; Wan, G.J.; Chu, P.K.; Siu, G.G. From Si nanotubes to nanowires: Synthesis, characterization, and self-assembly. J. Cryst. Growth 2005, 277, 143–148. [Google Scholar] [CrossRef]
  32. Chang, H.-C.; Lai, K.-Y.; Dai, Y.-A.; Wang, H.-H.; Lin, C.-A.; He, J.-H. Nanowire arrays with controlled structure profiles for maximizing optical collection efficiency. Energy Environ. Sci. 2011, 4, 2863. [Google Scholar] [CrossRef]
  33. Li, S.; Ma, W.; Chen, X.; Xie, K.; Li, Y.; He, X.; Yang, X.; Lei, Y. Structure and antireflection properties of SiNWs arrays form mc-Si wafer through Ag-catalyzed chemical etching. Appl. Surf. Sci. 2016, 369, 232–240. [Google Scholar] [CrossRef]
  34. Srivastava, S.K.; Kumar, D.; Schmitt, S.W.; Sood, K.N.; Christiansen, S.H.; Singh, P.K. Large area fabrication of vertical silicon nanowire arrays by silver-assisted single-step chemical etching and their formation kinetics. Nanotechnology 2014, 25, 175601. [Google Scholar] [CrossRef] [PubMed]
  35. Ozdemir, B.; Kulakci, M.; Turan, R.; Unalan, H.E. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires. Nanotechnology 2011, 22, 155606. [Google Scholar] [CrossRef] [PubMed]
  36. Najar, A.; Slimane, A.B.; Hedhili, M.N.; Anjum, D.; Sougrat, R. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method. J. Appl. Phys. 2012, 112, 33502–33506. [Google Scholar] [CrossRef] [Green Version]
  37. Vladimir, S.; Voigt, F.; Berger, A.; Bauer, G.; Christiansen, S. Roughness of silicon nanowire sidewalls and room temperature photoluminescence. Phys. Rev. B 2010, 82, 125446. [Google Scholar]
  38. Chern, W.; Hsu, K.; Chun, I.S.; Azeredo BP, D.; Ahmed, N.; Kim, K.-H.; Zuo, J.-M.; Fang, N.; Ferreira, P.; Li, X. Nonlithographic Patterning and Metal-Assisted Chemical Etching for Manufacturing of Tunable Light-Emitting Silicon Nanowire Arrays. Nano Lett. 2010, 10, 1582–1588. [Google Scholar] [CrossRef]
  39. Valenta, J.; Bruhn, B.; Linnros, J. Coexistence of 1D and Quasi-0D Photoluminescence from Single Silicon Nanowires, Coexistence of 1D and Quasi-0D. Nano Lett. 2011, 7, 3003–3009. [Google Scholar] [CrossRef]
  40. Yan, J.-A.; Yang, L.; Chou, M.Y. Size and orientation dependence in the electronic properties of silicon nanowires. Phys. Rev. B 2007, 76, 115318. [Google Scholar] [CrossRef]
  41. Gonchar, K.A.; Zubairova, A.A.; Schleusener, A.; Osminkina, L.A.; Sivakov, V. Optical Properties of Silicon Nanowires Fabricated by Environment-Friendly Chemistry. Nanoscale Res. Lett. 2016, 1, 357. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  42. Kulathuran, K.; Mohanraj, K.; Natarajan, B. Structural, optical and electrical characterization of nanostructured porous silicon: Effect of current density. Spectrochim. Acta Part A Mol. Biomol. Spectrosc. 2016, 152, 51–57. [Google Scholar] [CrossRef] [PubMed]
  43. Zamchiy, A.O.; Baranov, E.A.; Khmel, S.Y.; Maximovskiy, E.A.; Gulyaev, D.V.; Zhuravlev, K.S. Deposition time dependence of the morphology and properties of tin-catalyzed silicon oxide nanowires synthesized by the gas-jet electron beam plasma chemical vapor deposition method. Thin Solid Films 2018, 654, 61–68. [Google Scholar] [CrossRef]
  44. Moumni, B.; Jaballah, A.B. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching. Appl. Surf. Sci. 2017, 425, 1–7. [Google Scholar] [CrossRef]
  45. Chaliyawala, H.A.; Ray, A.; Pati, R.K.; Mukhopadhyay, I. Strong light absorption capability directed by structured profile of vertical Si nanowires. Optical Mater. 2017, 73, 449–458. [Google Scholar] [CrossRef]
  46. Garzon-Roman, A.; Cuate-Gomez, D.H. Graphene nanoflakes and carbon nanotubes on porous silicon layers by spin coating, for possible applications in optoelectronics. Sens. Actuators A Phys. 2019, 292, 121–128. [Google Scholar] [CrossRef]
  47. Das, M.; Nath, P.; Sarkar, D. Influence of etching current density on microstructural, optical and electrical properties of porous silicon (PS):n-Si heterostructure. Superlattices Microstruct. 2016, 90, 77–86. [Google Scholar] [CrossRef]
  48. Al Mortuza, A.; Hafijur, M.; Sinthia, R.; Mou, S.; Islam, M.J.; Ismail, A.B.M. Electrical and optical characteristics of porous silicon impregnated with LaF3 by a novel chemical bath technique. Curr. Appl. Phys. 2012, 12, 565–569. [Google Scholar] [CrossRef]
  49. Dariani, R.S.; Zabihipour, M. Effect of electrical behavior of ZnO microparticles grown on porous silicon substrate. Appl. Phys. A 2016, 122, 1047. [Google Scholar] [CrossRef]
  50. Haditale, M.; Zabihipour, A.; Koppelaar, H. A comparison of I-V characteristics of graphene silicon and graphene-porous silicon hybrid structures. Superlattices Microstruct. 2018, 122, 387–393. [Google Scholar] [CrossRef]
  51. Somvanshi, D.; Jit, S. Analysis of I–V Characteristics of Pd/ZnO Thin Film/n-Si Schottky Diodes with Series Resistance. J. Nanoelectron. Optoelectron. 2014, 9, 1–6. [Google Scholar] [CrossRef]
  52. Kumar, Y.; Kumr, H.; Rawat, G.; Kumar, C.; Pal, B.N.; Jit, S. Electrical and Optical Characteristics of Pd/ZnO Quantum Dots Based Schottky Photodiode on n-Si. In Proceedings of the 2016 IEEE International Symposium on Nanoelectronic and Information Systems (iNIS), Gwalior, India, 19–21 December 2016. [Google Scholar]
Figure 1. Schematic of the potential relationship between bands in a silicon (Si) substrate, Ag+/Ag, and H2O2/H2O redox pairs.
Figure 1. Schematic of the potential relationship between bands in a silicon (Si) substrate, Ag+/Ag, and H2O2/H2O redox pairs.
Nanomaterials 10 00404 g001
Figure 2. Schematic illustration of the formation mechanism of silicon nanowires (SiNWs) via two-step MACE. (a) Reduction of Ag+ ions and formation of Ag nuclei at the Si surface. (b) Further silver nuclei growth, oxidative dissolution of Silicon atoms, and production of porous layer. (c) Vertical propagation of silver nanoparticles and faster etching leading to SiNWs formation. (d) Silver removal and vertical aligned SiNW arrays production.
Figure 2. Schematic illustration of the formation mechanism of silicon nanowires (SiNWs) via two-step MACE. (a) Reduction of Ag+ ions and formation of Ag nuclei at the Si surface. (b) Further silver nuclei growth, oxidative dissolution of Silicon atoms, and production of porous layer. (c) Vertical propagation of silver nanoparticles and faster etching leading to SiNWs formation. (d) Silver removal and vertical aligned SiNW arrays production.
Nanomaterials 10 00404 g002
Figure 3. SEM images of SiNWs etched at different durations showing top view surface (af), tilt view 35° (gl), and cross-sectional (mr).
Figure 3. SEM images of SiNWs etched at different durations showing top view surface (af), tilt view 35° (gl), and cross-sectional (mr).
Nanomaterials 10 00404 g003
Figure 4. Variation of the (a) filling ratio and (b) length of SiNWs as a function of etching time.
Figure 4. Variation of the (a) filling ratio and (b) length of SiNWs as a function of etching time.
Nanomaterials 10 00404 g004
Figure 5. XRD patterns of silicon nanowires and untreated Si wafer.
Figure 5. XRD patterns of silicon nanowires and untreated Si wafer.
Nanomaterials 10 00404 g005
Figure 6. PL spectra of SiNWs synthesized at different etching times.
Figure 6. PL spectra of SiNWs synthesized at different etching times.
Nanomaterials 10 00404 g006
Figure 7. Variation of integrated PL intensities versus etching duration.
Figure 7. Variation of integrated PL intensities versus etching duration.
Nanomaterials 10 00404 g007
Figure 8. FTIR spectra of SiNWs obtained at different etching time.
Figure 8. FTIR spectra of SiNWs obtained at different etching time.
Nanomaterials 10 00404 g008
Figure 9. Evolution of peaks intensity of dominant FTIR bands versus etching time.
Figure 9. Evolution of peaks intensity of dominant FTIR bands versus etching time.
Nanomaterials 10 00404 g009
Figure 10. Reflectance spectra of SiNWs with various lengths etched during different times and corresponding Si wafer. The inset displays images of Si wafer and SiNWs.
Figure 10. Reflectance spectra of SiNWs with various lengths etched during different times and corresponding Si wafer. The inset displays images of Si wafer and SiNWs.
Nanomaterials 10 00404 g010
Figure 11. Curves of the as-prepared heterojunction based on SiNWs synthesised at various etching times. The inset displays a schematic illustration of Ag/SiNWs/Si/Al device.
Figure 11. Curves of the as-prepared heterojunction based on SiNWs synthesised at various etching times. The inset displays a schematic illustration of Ag/SiNWs/Si/Al device.
Nanomaterials 10 00404 g011
Figure 12. Logarithm of forward current versus voltage (Ln (I) vs. V) curves of SiNWs synthesized at different etching times.
Figure 12. Logarithm of forward current versus voltage (Ln (I) vs. V) curves of SiNWs synthesized at different etching times.
Nanomaterials 10 00404 g012
Figure 13. Plots of dV/d(LnI) vs. I of SiNWs samples (S1–S6).
Figure 13. Plots of dV/d(LnI) vs. I of SiNWs samples (S1–S6).
Nanomaterials 10 00404 g013
Table 1. Comparison of the SiNCs sizes value estimated from Equation (10) for different reports of the literature.
Table 1. Comparison of the SiNCs sizes value estimated from Equation (10) for different reports of the literature.
ConstantsValenta et al. [39]Yan et al. [40]Gonchar et al. [41]Bahera et al. [19]
C (eV nm−2)2.4943.732.4
α0.911.41.391.7
d (nm)4.253.593.452.12
Table 2. Various electrical parameters determined by conventional TE and Cheung’s model.
Table 2. Various electrical parameters determined by conventional TE and Cheung’s model.
Ln (I) vs. V (TE model)Cheung’s Functions
Etching TimeIs (µA)η φ b (eV)RS (kΩ)η
20 min0.2115.910.80693.653.65
40 min0.4955.310.79032.103.56
60 min0.6954.380.77125.062.60
80 min0.5666.170.76841.753.10
100 min0.2396.050.80186.334.08
120 min0.2246.410.80433.014.53

Share and Cite

MDPI and ACS Style

Naffeti, M.; Postigo, P.A.; Chtourou, R.; Zaïbi, M.A. Elucidating the Effect of Etching Time Key-Parameter toward Optically and Electrically-Active Silicon Nanowires. Nanomaterials 2020, 10, 404. https://doi.org/10.3390/nano10030404

AMA Style

Naffeti M, Postigo PA, Chtourou R, Zaïbi MA. Elucidating the Effect of Etching Time Key-Parameter toward Optically and Electrically-Active Silicon Nanowires. Nanomaterials. 2020; 10(3):404. https://doi.org/10.3390/nano10030404

Chicago/Turabian Style

Naffeti, Mariem, Pablo Aitor Postigo, Radhouane Chtourou, and Mohamed Ali Zaïbi. 2020. "Elucidating the Effect of Etching Time Key-Parameter toward Optically and Electrically-Active Silicon Nanowires" Nanomaterials 10, no. 3: 404. https://doi.org/10.3390/nano10030404

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop