Next Article in Journal
CVD Synthesis of Solid, Hollow, and Nitrogen-Doped Hollow Carbon Spheres from Polypropylene Waste Materials
Previous Article in Journal
Airborne Waveform Lidar Simulator Using the Radiative Transfer of a Laser Pulse
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Diffusion and Dopant Activation in Germanium: Insights from Recent Experimental and Theoretical Results

1
Solid State Physics Section, University of Athens, Panepistimiopolis Zografos, 157 84 Athens, Greece
2
Department of Mechanical Engineering, University of West Attica, 12210 Athens, Greece
3
V. N. Karazin Kharkiv National University, 4 Svobody sq., 61077 Kharkiv, Ukraine
4
Faculty of Engineering, Environment, and Computing, Coventry University, Priory Street, Coventry CV1 5FB, UK
5
Department of Materials, Imperial College London, London SW7 2BP, UK
*
Author to whom correspondence should be addressed.
Appl. Sci. 2019, 9(12), 2454; https://doi.org/10.3390/app9122454
Submission received: 1 June 2019 / Revised: 11 June 2019 / Accepted: 12 June 2019 / Published: 15 June 2019
(This article belongs to the Section Applied Physics General)

Abstract

:
Germanium is an important mainstream material for many nanoelectronic and sensor applications. The understanding of diffusion at an atomic level is important for fundamental and technological reasons. In the present review, we focus on the description of recent studies concerning n-type dopants, isovalent atoms, p-type dopants, and metallic and oxygen diffusion in germanium. Defect engineering strategies considered by the community over the past decade are discussed in view of their potential application to other systems.

Graphical Abstract

1. Introduction

For over a decade, Ge has been actively considered for many nanoelectronic and sensor applications, as it has a number of material property advantages over Si or alternative materials such as silicon–germanium (Si1–xGex) alloys. The main properties include its superior carrier mobilities, low-dopant activation temperatures, and smaller band-gap [1,2,3]. A main advantage of Ge-technology is its compatibility to existing Si processes and this may be an important factor as industrial inertia may delay the introduction of more exotic materials, unless, of course, they provide a clear breakthrough as compared to present technologies. The second determining factor for the consideration of Ge is the development of high-k gate dielectric materials. This, in turn, eliminates the need for a good-quality native oxide for the semiconducting material. The poor quality of germanium dioxide as compared to silicon dioxide in Si-technology plagued Ge-technology in the early days of the semiconductor industry [1].
Defects in semiconductors cannot be avoided in device processing (for example, implantation and diffusion). Essentially, understanding their properties is key to comprehend diffusion and can lead to devices with improved characteristics. For example, diffusion issues are important as the characteristic dimensions of devices are presently only a few nanometers. This necessitates the control of p- and n-type dopants to form efficient Ge-based p- and n-channel metal oxide semiconductor field effect transistors (MOSFETs) for advanced complementary metal-oxide semiconductors (CMOSs). There have been numerous studies on Ge over the past decade; however, research on Ge was limited for many decades with most being published over the last decade [4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25].
Vacancies (V) and self-interstitials (I) are the intrinsic point defects which act as vehicles mediating diffusion and as such understanding of their properties is critical to control the diffusion and/or electrical activation of dopants [26,27,28,29,30,31,32,33,34,35,36,37,38,39,40]. The dominance of V is established experimentally [28] and is important as it mediates the diffusion of most dopants in Ge [5,41,42]. Concerning donor atom diffusion, previous studies have established that n-type dopants such as P, As, and Sb diffuse in Ge via a vacancy mechanism at a rate that is faster than self-diffusion [41,42,43,44,45]. It should be considered that the relatively fast transport of n-type dopants is not appropriate for the formation of ultra-shallow donor profiles.
It is established that p-type dopants (for example Ga, In, B) diffuse at a lower rate as compared to n-type dopants [36]. The diffusion of the p-type dopants is similar to self-diffusion; however, in the case of B, it is significantly lower [46,47,48,49]. This slow diffusion of the p-type dopants is advantageous for the formation of ultra-shallow and well-defined acceptor doped regions in Ge.
The most common isovalent dopant in the Czochralski-grown group IV semiconductors is C [50,51,52]. Other dopants such as Sn or lead Pb have been used in defect engineering strategies to contain the fast diffusion of n-type dopants in Ge [20,36,53,54]. The interest in isovalent doping stems also from the interest to employ group IV binary (for example Si1–xGex, Sn1–xGex) and/or ternary (for example Si1–x–yGexSny) alloys in devices [55].
In Czochralski-grown semiconductors such as Ge or Si, there is a non-negligible concentration of oxygen [1,56,57,58,59,60]. Oxygen is mainly incorporated in the lattice via the growth process and its impact has been mainly investigated in Si and to a far lesser extend in Ge. Notably, most Ge crystals are pulled in graphite crucibles, leading to a lower oxygen content than in Czochralski-grown Si. At any rate, the introduction of oxygen is important as it can lead to further extended defects, which can degrade the performance of devices.
Metallic diffusion in semiconductors such as Ge is both scientifically and technologically important [30,61,62,63,64,65]. For example, Giese et al. [30] have studied the diffusion of nickel and copper in Ge to derive information regarding the vacancy-mediated Ge self-diffusion coefficient and the concentration of vacancies with respect to temperature. From a technological viewpoint metals such as copper, nickel, and palladium are used as crystallization inducers in the metal-induced lateral crystallization (MILC) method which is an efficient way to produce large grain crystals [64].
The methodological advances in the past years and their wide spread (for example, density functional theory (DFT) and time of flight secondary ion mass spectrometry (ToF-SIMS)) have enabled the better understanding of materials at an atomistic level [66,67,68,69,70,71,72,73,74,75,76,77,78,79,80]. In particular, these methods can resolve the energetics of atomic diffusion, provide evidence of the diffusion mechanism, the formation of clusters, and other electronic and mechanical properties. Therefore, they are useful tools to devise defect engineering strategies.
The present review is mainly focused on the diffusion of technologically important dopants and impurities. The review considers self-diffusion, the diffusion of n-type dopants, p-type dopants, and metallic diffusions. The latter is mainly concerned with recent results on Pd diffusion, which recently calculated a very low migration energy barrier of Pd interstitial (Pdi) diffusion.

2. Self-Diffusion

The studies by Werner et al. [28] determined that V is the prevalent intrinsic point defect mediating self-diffusion in Ge under conditions of equilibrium. Werner et al. [28] determined that there are no I under thermal equilibrium conditions. The dominance of V is strengthened by recent DFT calculations that exhibit a lower formation energy for V as compared to I. Bracht et al. [81] proposed proton irradiation to generate a supersaturation of Ge self-interstitials, and thus, to better control the concentration of vacancies. This is an important method as vacancies mediate the fast diffusion of n-type dopants in Ge, and therefore, need to be controlled [36,70,82]. Conversely, the generation of self-interstitials can enhance the boron diffusion in Ge via an interstitial mechanism that requires the formation of boron-self-interstitial pairs [83].

3. n-Type Dopants

Interestingly, although Ge shares the same crystal structure to Si, its defect properties are distinctively different. A key issue in Ge is the excessive n-type dopant diffusion. This in turn poses a technological difficulty to form well-defined n-type doped regions for devices. It has also been established that donor atoms such as arsenic can form clusters with vacancies (AsnV defect clusters, refer to Figure 1) [4]. The community aims to confront these issues by considering defect engineering strategies that can address both the fast diffusion and the clustering of the donor atoms. It should be noted that, in the past, a common defect engineering strategy was to introduce co-dopants in the material [84,85,86,87,88]. The purpose is that the co-dopants will act competitively, attracting native point defects (such as vacancies) and in that respect, will allow the annihilation and/or control of the dopants and their clusters. Experimental and theoretical investigations used isovalent C or Sn to co-dope n-type doped Ge (refer to Reference [36] and references therein). It was determined that isovalent co-doping will impact the diffusion of the donor atoms; however, it did not affect the deactivation of the donor profile [41,44]. In essence the picture is that the isovalent atoms associate with the migrating vacancies and (or donor–vacancy pairs) effectively immobilizing a proportion of them. These in turn, are not available to associate with the donor atoms and facilitate their migration, hence, less donor atoms will migrate, and this leads to a decline in their diffusivity [44]. The association, however, of the isovalent atoms with the donor–vacancy pairs results in defect clusters which still lead to the deactivation of the donor atoms [44]. Therefore, although isovalent co-doping solves the fast diffusion of the donor profile issue, it does not impact the deactivation issues [36,41,44].
To address both these issues concurrently, double-donor doping and doping with aliovalent dopants was considered [2,89]. Double-donor doping is the process where a second n-type dopant is introduced in the lattice aiming to impact the electronic properties and defect-dopant processes [89,90,91,92,93,94]. Tsouroutas et al. [90] investigated the diffusion and activation of P and as co-doped Ge using conventional thermal annealing (600–750 °C). It was determined using Secondary Ion Mass Spectrometry (SIMS ) that As diffusion was retarded, whereas P diffusion remained unaffected or even somewhat enhanced [90]. Additionally, double-donor doping was not beneficial concerning the level of activation [90]. Stathopoulos et al. [92] considered P and N co-doping but with millisecond non-melt laser annealing. It was determined that co-doping with N reduces the diffusion of P but with a lower activation level [92]. Therefore, the conclusions for double-donor doping are similar to those for isovalent doping: fast diffusion is reduced but there is no benefit concerning the activation of the donor atoms. At any rate it should be considered that in other classes of materials (for example oxides), these defect engineering strategies are effective [95,96]. In these systems the key is the relaxation manipulation offered by the two co-dopants, whereas here electronic effects are also important.
To the best of our knowledge the primary study that considered the impact of F on donor dopants in Ge was the DFT study by Chroneos et al. [97] nearly a decade ago. The promising DFT results motivated a series of experimental studies to determine the impact of F on the electrical activation of P and As in Ge [2,98,99,100,101,102,103,104]. The introduction of F in Ge was inspired by analogous experimental and theoretical work of F in Si, where it was employed to control the transient diffusion of B [105,106,107]. The host lattices are different; however, the key feature of the highly electronegative F in Si and Ge is that it can passivate the dangling bonds formed by vacancies. In essence, as the F atoms saturate the dangling bonds (formed by the vacancies) they concurrently solve the low activation and high diffusion of donor atom problems in Ge [97]. This is because the donor atom diffusion is vacancy mediated, whereas they are also necessary for the formation of the large donor atom–vacancy clusters that deactivate the donor profile. In the DFT study by Chroneos et al. [97], it was calculated that F captures vacancies to form FnVm clusters, in effect depriving these vacancies from the donor atoms. Although this early study employed relatively crude mass action analysis arguments, it inspired experimental work to investigate the interaction of F with defects in Ge. Interestingly, Sanson et al. [104] used X-ray absorption near edge structure (XANES) spectroscopy to study the local structure of ion-implanted F-doped Ge and determined that FnVm, and in particular F6V2 clusters, form in agreement with the DFT results [97]. Considering the diffusion of donor atoms in the presence of F, Impellizzeri et al. [2] determined that F retards As diffusion in Ge (refer to Figure 2). Jung et al. [100] determined that F implantation passivates vacancies at around 500 °C, and this is linked to the enhancement in Ge-MOSFET performance.
It should be stressed that the search for efficient defect engineering strategies in the case of n-type doped Ge is a good example of the compatibility and complementary information that can be achieved when utilizing experiment and DFT calculations.

4. p-Type Dopants

In Ge, the diffusion of B is slow, and this is a consequence of its very high diffusion activation enthalpy, which is higher than the activation enthalpy of self-diffusion by more than 1 eV [46]. As the dominant intrinsic defect is the Ge vacancy with the higher activation energy of the B atom as compared to the vacancy self-diffusion indicates that it is not bound to a vacancy when it migrates. This is also confirmed by the DFT calculations, which show that the boron atom repels the vacancy [36]. This is the opposite picture to the donor atoms where diffusion is facilitated by vacancies with the donor–vacancy pairs being highly bound [36]. For B in Ge, the interstitial-mediated diffusion mechanism is proposed, and this is consistent with the DFT investigations of Janke et al. [48] and by the enhanced diffusivity of B under irradiation (where there is a self-interstitial supersaturation) [83].
Conversely, the diffusion of p-type dopants such as Al, Ga, and In is mediated by vacancies. These p-type dopants have a higher activation enthalpy of diffusion as compared to n-type dopants [36,42]. The main difference between the acceptor and donor atoms is that the latter have a higher attraction to the vacancies (i.e., Coulombic attraction of the positively charged n-type dopants to the negatively charged vacancies) and this is, in turn, reflected in the activation enthalpies of diffusion [18,42,43,44]. For example, Kube et al. [18] determined that indium diffusion in germanium is vacancy mediated but with a relatively high activation enthalpy of diffusion of 3.51 eV (under intrinsic conditions).
It has been determined that for high-dopant concentrations (i.e., at ~1020 cm−3), there is a formation of dopant-defect clusters [44]. These clusters in turn will impact p-type dopant diffusion as in the case of analogous clusters for n-type dopants (refer to Figure 1 and References [4,44]); however, the impact on the activation enthalpy of migration has not been calculated using advanced computational methods.

5. Isovalent Dopants

Tetravalent or isovalent atoms can be introduced in the Ge lattice mainly as substitutional atoms. Apart from C, which is mainly introduced during the processing (although at smaller concentration as compared to Si lattices) [1], isovalent atoms are considered in Ge as co-dopants that will be useful to constrain A-centers (or other oxygen-related defects) and reduce the diffusivities of n-type dopants (also refer to Section 3 above).
Considering oxygen-related defects in Ge [108,109,110,111,112,113] (refer to Section 7 for what follows), the main benefit for introducing isovalent dopants is to anchor or attract the lattice vacancies, which would otherwise bind with the oxygen atoms [39,112]. In DFT calculations, it was calculated that the SnV defect is more bound compared to the VO defect by 0.2 eV [112], and thus, if there is enough Sn in the lattice (i.e., more than the existing oxygen) most vacancies will be trapped by the Sn atoms limiting the concentration of the VO pairs. This is analogous to the defect engineering strategies employed using isovalent dopants to minimize the formation of the deleterious oxygen-vacancy defects in Si [86]. A difference between Si and Ge as host lattices is that in the latter, the concentration of oxygen is far lower [1]. Therefore, smaller oxygen-vacancy clusters are likely to form.
For n-type dopants, the co-doping with isovalent atoms again aims to control the behavior of the n-type dopant by depriving it from vacancies. In that respect, previous studies have considered the co-doping with large isovalent dopants such as Sn and Hf which relax (and thus are bound) near lattice vacancies [54]. In particular, the DFT studies by Tahini et al. [54] showed that the SnV and HfV defects are strongly bound. The interaction with these large isovalent atoms does effectively increase the activation energy of diffusion of n-type dopants and thus succeeds in decelerating (and constraining) n-type dopants in the Ge lattice (refer to Figure 3 and Figure 4) [54]. This effect is more significant for the larger isovalent dopant (i.e., Hf). As discussed in Section 3 above, the introduction of isovalent dopants fails to deal with the deactivation issues, and thus, other defects engineering strategies such as co-doping with F should be more efficient.

6. Metal Atom Diffusion

Metals have been previously used in Si and Ge as they can lead to the increase of the rate of crystallization leading to large grain materials (via the MILC process). Typical crystallization inducers are copper, nickel, and palladium with the Ge growth taking place on a thin film of the metal that initiates crystallization.
In a recent study, Tahini et al. [64] employed hybrid density functional theory calculations to investigate the diffusion of a number of metals (Ag, Pt, Li, Pd, Au, and Cu) in Ge. In that investigation, Tahini et al. [64] considered all the possible diffusion mechanisms (for example, the dissociative or Frank–Turnbull mechanism, the kick-out mechanism, and the ring mechanism); however, the direct interstitial process, Pdi ↔ Pdi, (refer to Figure 5) was the lowest energy way for a Pd atom to diffuse in the Ge lattice. The migration energy for this process is only 0.03 eV, which is one of the lowest energies ever calculated for mass transport in a crystalline material [64]. In this order of magnitude are the migration energies of helium in tungsten and the self-diffusion of silver in α-AgI [64].
The question is what is driving an oversized metallic atom such as palladium to diffuse through the crystalline germanium lattice so fast? In fact, the migration energy is far smaller compared to the n-type dopants which are considered to be relatively fast diffusing atoms in a semiconductor lattice [2,36]. To facilitate comparison Tahini et al. [64] considered the diffusion with the direct interstitial process of another five metals (Li, Cu, Ag, Pt, and Au) in Ge (refer to Figure 6). These metals have low migration energies (0.14–0.54 eV) but still comparatively lower than that of Pd [64]. Considering that it is not an atomic size effect and in this case the focus was on doping. In essence, diffusion may be visualized as a sequence of bond breaking and forming steps. Typically, in this picture at the saddle point (highest energy step), the migrating atom has broken all (or most) of its bonds with its neighboring host atoms. What is distinctly different in the metals considered by Tahini et al. [64], is that they still have bonding states at the saddle point and in essence throughout the diffusion process. For Pd, these bonding states are more pronounced as compared to the other metal atoms, and this could be the key for its extremely low migration energy of diffusion [64]. At any rate these concepts should be investigated further as their applicability in energy materials where diffusion processes are important (for example solid-oxide fuel cells and batteries) could lead to significant improvements in device performance.

7. Oxygen Diffusion

It is well established that oxygen in Si and in particular Czochralski-grown Si is present at concentrations that can impact the defect process and in turn the applicability and performance of the material in devices (for example, see References [26,27,32,51,52,56,76] and references therein). Commonly in Si and Ge, oxygen can be introduced through the growth process. As in most defects and processes, oxygen in silicon has been thoroughly investigated, whereas there are only limited studies in germanium. It has been established that the concentration of oxygen in germanium substrates is lower as compared in silicon [1]. Nevertheless, the solubility of oxygen can be up to 1018 cm−3, whereas there exist alternative routes for its introduction in germanium (Ge/oxide interfacial diffusion) [1,108,109,110]. Considering one of the most fundamental defects in semiconductors, the oxygen interstitial (Oi), it is deemed to be electrically inactive in Ge [1]. At any rate though, it can bind with vacancies to form oxygen-vacancy defects known as A-centers (refer to Figure 7). These in turn, can influence the electronic properties and/or lead to more extended defects (as in the case of Si, see References [26,27,32,51,52,56,76] and references therein) and need to be investigated in more detail.
There is still ground for more work on the defect processes of oxygen in germanium. It should be stressed that analogous work is still performed in silicon and silicon germanium, although the introduction of oxygen in these materials has been studied for numerous decades.

8. Summary

In the present review we considered defect processes and in particular diffusion in Ge. This is of interest as there is renewed interest in Ge for nearly two decades now, as its main disadvantages as compared to Si have been overcome by technological progress. Additionally, methods such as DFT and ToF-SIMS that were not available in the early days of the microelectronics era can now be widely used to investigate the defect processes on Ge at an atomic scale. In essence, DFT calculations provide insights that are supportive and complementary to experiment. For diffusion, DFT can help clarify the dominant diffusion mechanism and the formation or not of clusters that can hinter or aid the migration processes.
Although, p-type doping in Ge can be facilitated, it did emerge that n-type doping can be problematic particularly when the dopant concentration is high. This led the community to consider defect engineering strategies such as the inclusion of co-dopants. Isovalent atoms such as carbon were determined to retard the donor atom diffusion; however, they did not resolve the clustering and deactivation issues. An interesting field for future work will be the investigation of the conditions under which clustering occurs and how this degrades the performance of the devices. The key issue that needs to be controlled (to avoid clustering and the rapid diffusion of n-type dopants) is the vacancy concentration.
Obviously, no review can consider all the references related to such a technological issue and many key contributions have not been discussed (for example, References [114,115,116,117,118,119,120]). Additionally, from an experimental viewpoint, the diffusion and activation of dopants can be further complicated by parameters such as the thermal budget, particularly when considering non-equilibrium techniques (e.g., laser, flash, and microwave annealing) [121,122].
The recent studies on Ge can be used as a paradigm in other semiconductor systems such as group IV binary (for example, Si1–xGex, Sn1–xGex), ternary (for example Si1–x–yGexSny) alloys, and even advanced 2D materials [123,124,125,126,127]. Many defect processes in random binary and ternary alloys remain undetermined, and thus, offer ground for research. The defect engineering strategies presented here should inspire further experimental work in these systems. Finally, thermodynamic models such as the cBΩ model by Varotsos and Alexopoulos [128,129] can be employed in synergy with experimental and computational methods to study the defect process of electronic materials such as Ge [128,129,130,131,132,133,134,135,136,137,138,139,140,141,142,143].

Author Contributions

All authors contributed to the writing—review and editing.

Funding

This research received no external funding.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Claeys, C.; Simoen, E. Germanium-Based Technologies: From Materials to Devices; Elsevier: Amsterdam, The Netherlands, 2007. [Google Scholar]
  2. Impellizzeri, G.; Boninelli, S.; Priolo, F.; Napolitani, E.; Spinella, C.; Chroneos, A.; Bracht, H. Fluorine effect on As diffusion in Ge. J. Appl. Phys. 2011, 109, 113527. [Google Scholar] [CrossRef]
  3. Stolwijk, N.A.; Bracht, H. Diffusion in Silicon, Germanium and their Alloys; Landolt-Börnstein New Series, Vol. III/33; Subvolume A Springer: New York, NY, USA, 1998. [Google Scholar]
  4. Chroneos, A.; Grimes, R.W.; Uberuaga, B.P.; Brotzmann, S.; Bracht, H. Vacancy-arsenic clusters in germanium. Appl. Phys. Lett. 2007, 91, 192106. [Google Scholar] [CrossRef]
  5. Bracht, H.; Brotzmann, S. Atomic transport in germanium and the mechanism of arsenic diffusion. Mater. Sci. Semicond. Proc. 2006, 9, 471–476. [Google Scholar] [CrossRef]
  6. Pinto, H.M.; Coutinho, J.; Torres, V.J.B.; Öberg, S.; Briddon, P.R. Formation energy and migration barrier of a Ge vacancy from ab initio studies. Mater. Sci. Semicond. Proc. 2006, 9, 498–502. [Google Scholar] [CrossRef]
  7. Tsouroutas, P.; Tsoukalas, D.; Florakis, A.; Zergioti, I.; Serafetinides, A.A.; Cherkashin, N.N.; Marty, B.; Claverie, A. Laser annealing for n+/p junction formation in germanium. Mater. Sci. Semicond. Proc. 2006, 9, 644–649. [Google Scholar] [CrossRef]
  8. Chroneos, A.; Skarlatos, D.; Tsamis, C.; Christofi, A.; McPhail, D.S.; Hung, R. Implantation an diffusion of phosphorous in germanium. Mater. Sci. Semicond. Proc. 2006, 9, 640–643. [Google Scholar] [CrossRef]
  9. Chroneos, A. Isovalent impurity-vacancy complexes in germanium. Phys. Status Solidi B 2007, 244, 3206–3210. [Google Scholar] [CrossRef]
  10. Janke, C.; Jones, R.; Coutinho, J.; Öberg, S.; Briddon, P.R. Ab initio investigation of phosphorus diffusion paths in germanium. Phys. Rev. B 2008, 77, 195210. [Google Scholar] [CrossRef]
  11. Chroneos, A.; Bracht, H.; Grimes, R.W.; Uberuaga, B.P. Phosphorous clustering in germanium-rich silicon germanium. Mater. Sci. Eng. B 2008, 154–155, 72–75. [Google Scholar] [CrossRef]
  12. Silvestri, H.H.; Bracht, H.; Hansen, J.L.; Larsen, A.N.; Haller, E.E. Diffusion of silicon in crystalline germanium. Semicond. Sci. Technol. 2006, 21, 758–762. [Google Scholar] [CrossRef] [Green Version]
  13. Naganawa, M.; Shimizu, Y.; Uematsu, M.; Itoh, K.M.; Sawano, K.; Shiraki, Y.; Haller, E.E. Charge states of vacancies in germanium investigated by simultaneous observation of germanium self-diffusion and arsenic diffusion. Appl. Phys. Lett. 2008, 93, 191905. [Google Scholar] [CrossRef] [Green Version]
  14. Schneider, S.; Bracht, H.; Petersen, M.C.; Lundsgaard Hansen, J.; Nylandsted Larsen, A. Proton irradiation of germanium isotope multilayer structures at elevated temperatures. J. Appl. Phys. 2008, 103, 033517. [Google Scholar] [CrossRef]
  15. Haller, E.E. Germanium: From its discovery to SiGe devices. Mater. Sci. Semicond. Proc. 2006, 9, 408–422. [Google Scholar] [CrossRef] [Green Version]
  16. Tahini, H.; Chroneos, A.; Grimes, R.W.; Schwingenschlögl, U.; Dimoulas, A. Strain induced changes to the electronic structure of germanium. J. Phys. Condens. Matter 2012, 24, 195802. [Google Scholar] [CrossRef] [PubMed]
  17. Bruno, E.; Mirabella, S.; Scapellato, G.; Impellizzeri, G.; Terrasi, A.; Priolo, F.; Napolitani, E.; De Salvador, D.; Mastramatteo, M.; Carnera, A. Mechanism of B diffusion in crystalline Ge under proton irradiation. Phys. Rev. B 2009, 80, 033204. [Google Scholar] [CrossRef]
  18. Kube, R.; Bracht, H.; Chroneos, A.; Posselt, M.; Schmidt, B. Intrinsic and extrinsic diffusion of indium in germanium. J. Appl. Phys. 2009, 106, 063534. [Google Scholar] [CrossRef] [Green Version]
  19. Decoster, S.; De Vries, B.; Wahl, U.; Correia, J.G.; Vantomme, A. Lattice location study of implanted In in Ge. J. Appl. Phys. 2009, 105, 083522. [Google Scholar] [CrossRef]
  20. Tahini, H.; Chroneos, A.; Grimes, R.W.; Schwingenschlögl, U. Diffusion of tin in germanium: A GGA+U approach. Appl. Phys. Lett. 2011, 99, 162103. [Google Scholar] [CrossRef]
  21. Hüger, E.; Tietze, U.; Lott, D.; Bracht, H.; Bougeard, D.; Haller, E.E.; Schmidt, H. Self-diffusion in germanium isotope multilayers at low temperatures. Appl. Phys. Lett. 2008, 93, 162104. [Google Scholar] [CrossRef] [Green Version]
  22. Chroneos, A.; Bracht, H.; Jiang, C.; Uberuaga, B.P.; Grimes, R.W. Nonlinear stability of E centers in Si1−xGex: Electronic structure calculations. Phys. Rev. B 2008, 78, 195201. [Google Scholar] [CrossRef]
  23. Chroneos, A. Effect of germanium substrate loss and nitrogen on dopant diffusion in germanium. J. Appl. Phys. 2009, 105, 056101. [Google Scholar] [CrossRef]
  24. Chroneos, A. Dopant-vacancy cluster formation in germanium. J. Appl. Phys. 2010, 107, 076102. [Google Scholar] [CrossRef] [Green Version]
  25. Pulikkotil, J.J.; Chroneos, A.; Schwingenschlögl, U. Structure of Sn1−xGex random alloys as obtained from the coherent potential approximation. J. Appl. Phys. 2011, 110, 036105. [Google Scholar] [CrossRef]
  26. Chroneos, A.; Londos, C.A. Interaction of A-centers with isovalent impurities in silicon. J. Appl. Phys. 2010, 107, 093518. [Google Scholar] [CrossRef] [Green Version]
  27. Wang, W.; Chroneos, A.; Londos, C.A.; Sgourou, E.N.; Schwingenschlögl, U. A-centers in silicon studied with hybrid density functional theory. Appl. Phys. Lett. 2013, 103, 052101. [Google Scholar] [CrossRef] [Green Version]
  28. Werner, M.; Mehrer, H.; Hochheimer, H.D. Effect of hydrostatic pressure, temperature, and doping on self-diffusion in germanium. Phys. Rev. B 1985, 32, 3930–3937. [Google Scholar] [CrossRef]
  29. Da Silva, A.J.R.; Janotti, A.; Fazzio, A.; Baierle, R.J.; Mota, R. Self-interstitial defect in germanium. Phys. Rev. B 2000, 62, 9903–9906. [Google Scholar] [CrossRef]
  30. Giese, A.; Stolwijk, N.A.; Bracht, H. Double-hump diffusion profiles of copper and nickel in germanium wafers yielding vacancy-related diffusion. Appl. Phys. Lett. 2000, 77, 642–644. [Google Scholar] [CrossRef]
  31. Vanhellemont, J.; Spiewak, P.; Sueoka, K. On the solubility and diffusivity of the intrinsic point defects in germanium. J. Appl. Phys. 2007, 101, 036103. [Google Scholar] [CrossRef]
  32. Chroneos, A.; Londos, C.A.; Bracht, H. A-centers and isovalent impurities in germanium: Density functional theory calculations. Mater. Sci. Eng. B 2011, 176, 453–457. [Google Scholar] [CrossRef]
  33. Misiuk, A.; Bak-Misiuk, J.; Barez, A.; Romano-Rodriguez, A.; Antonova, I.V.; Popov, V.P.; Londos, C.A.; Jun, J. Effect of annealing at argon pressure up to 1.2 GPa hydrogen plasma etched and hydrogen implanted single crystalline silicon. Int. J. Hydrog. Energy 2001, 26, 483–488. [Google Scholar] [CrossRef]
  34. Bracht, H.; Chroneos, A. The vacancy in silicon: A critical evaluation of experimental and theoretical results. J. Appl. Phys. 2008, 104, 076108. [Google Scholar] [CrossRef] [Green Version]
  35. Emtsev, V.V.; Ammerlaan, C.A.J.; Emtsev, V.V.; Oganesyan, G.A.; Andreev, B.A.; Kuritsyn, D.I.; Misiuk, A.; Surma, B.; Londos, C.A. Double thermal donors in Czochralski-grown silicon heat-treated uner atmospheric and high hydrostatic pressures. Phys. Status Solidi B 2003, 235, 75–78. [Google Scholar] [CrossRef]
  36. Chroneos, A.; Bracht, H. Diffusion of n-type dopants in germanium. Appl. Phys. Rev. 2014, 1, 011301. [Google Scholar] [CrossRef]
  37. Stolwijk, N.A.; Lerner, L. Vacancy properties in germanium probed by cobalt diffusion. J. Appl. Phys. 2011, 110, 033526. [Google Scholar] [CrossRef]
  38. Sgourou, E.N.; Panayiotatos, Y.; Vovk, R.V.; Chroneos, A. Toward defect engineering strategies to optimize energy and electronic materials. Appl. Sci. 2017, 7, 674. [Google Scholar] [CrossRef]
  39. Coutinho, J.; Jones, R.; Briddon, P.R.; Öberg, S. Oxygen and dioxygen centers in Si and Ge: Density functional calculations. Phys. Rev. B 2000, 62, 10824. [Google Scholar] [CrossRef]
  40. Van Vechten, J.A. Green function calculation of the lattice response near the vacancy in Si. Phys. Rev. B 1986, 33, 8785–8788. [Google Scholar] [CrossRef]
  41. Brotzmann, S.; Bracht, H.; Lundsgaard Hansen, J.; Nylandsted Larsen, A.; Simoen, E.; Haller, E.E.; Christensen, J.S.; Werner, P. Diffusion and defect reactions between donors, C, and vacancies in Ge. I Experimental results. Phys. Rev. B 2008, 77, 235207. [Google Scholar] [CrossRef]
  42. Chroneos, A.; Bracht, H.; Grimes, R.W.; Uberuaga, B.P. Vacancy-mediated dopant diffusion activation enthalpies for germanium. Appl. Phys. Lett. 2008, 92, 172103. [Google Scholar] [CrossRef] [Green Version]
  43. Brotzmann, S.; Bracht, H. Intrinsic and extrinsic diffusion of phosphorous, arsenic, and antimony in germanium. J. Appl. Phys. 2008, 103, 033508. [Google Scholar] [CrossRef]
  44. Chroneos, A.; Grimes, R.W.; Uberuaga, B.P.; Bracht, H. Diffusion and defect reactions between donors, C, and vacancies in Ge. II Atomistic calculations of related complexes. Phys. Rev. B 2008, 77, 235208. [Google Scholar] [CrossRef]
  45. Simoen, E.; Schaekers, M.; Liu, J.B.; Luo, J.; Zhao, C.; Barla, K.; Collaert, N. Defect engineering for shallow n-type junctions in germanium: Facts and fiction. Phys. Status Solidi A 2016, 213, 2799–2808. [Google Scholar] [CrossRef]
  46. Uppal, S.; Willoughby, A.F.W.; Bonar, J.M.; Evans, A.G.R.; Cowern, N.E.B.; Morris, R.; Dowsett, M.G. Diffusion of ion-implanted boron in germanium. J. Appl. Phys. 2001, 90, 4293–4295. [Google Scholar] [CrossRef]
  47. Chui, C.O.; Gopalakrishnan, K.; Griffin, P.B.; Plummer, J.D.; Saraswat, K.C. Activation and diffusion of ion-implanted p and n dopants in germanium. Appl. Phys. Lett. 2003, 83, 3275–3277. [Google Scholar] [CrossRef]
  48. Janke, C.; Jones, R.; Öberg, S.; Briddon, P.R. Ab initio investigation of boron diffusion paths in germanium. J. Mater. Sci. Mater. Electron. 2007, 18, 775–780. [Google Scholar] [CrossRef]
  49. Chroneos, A.; Uberuaga, B.P.; Grimes, R.W. Carbon, dopant, and vacancy interactions in germanium. J. Appl. Phys. 2007, 102, 083707. [Google Scholar] [CrossRef]
  50. Lavrov, E.V.; Fancialli, M.; Kaukonen, M.; Jones, R.; Briddon, P.R. Carbon-tin defects in silicon. Phys. Rev. B 2001, 64, 125212. [Google Scholar] [CrossRef]
  51. Chroneos, A.; Sgourou, E.N.; Londos, C.A.; Schwingenschlögl, U. Oxygen defect processes in silicon and silicon germanium. Appl. Phys. Rev. 2015, 2, 021306. [Google Scholar] [CrossRef] [Green Version]
  52. Sgourou, E.N.; Timerkaeva, D.; Londos, C.A.; Aliprantis, D.; Chroneos, A.; Caliste, D.; Pochet, P. Impact of isovalent doping on the trapping of vacancy and interstitial related defects in Si. J. Appl. Phys. 2013, 113, 113506. [Google Scholar] [CrossRef] [Green Version]
  53. Tahini, H.; Chroneos, A.; Grimes, R.W.; Schwingenschlögl, U.; Bracht, H. Diffusion of E centers in germanium predicted using GGA+U approach. Appl. Phys. Lett. 2011, 99, 072112. [Google Scholar] [CrossRef]
  54. Tahini, H.A.; Chroneos, A.; Grimes, R.W.; Schwingenschlögl, U.; Bracht, H. Point defect engineering strategies to retard phosphorous diffusion in germanium. Phys. Chem. Chem. Phys. 2013, 15, 367–371. [Google Scholar] [CrossRef] [PubMed]
  55. Claeys, C.; Simoen, E.; Eneman, G.; Ni, K.; Hikavyy, A.; Loo, R.; Gupta, S.; Merckling, C.; Alian, A.; Caymax, M. Review—Device assessment of electrically active defects in high-mobility materials. ECS J. Solid State Sci. Technol. 2016, 5, P3149–P3165. [Google Scholar] [CrossRef]
  56. Wang, H.; Chroneos, A.; Londos, C.A.; Sgourou, E.N.; Schwingenschlögl, U. Carbon related defects in irradiated silicon revisited. Sci. Rep. 2014, 4, 4909. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  57. Watkins, G.D.; Corbett, J.W. Defects in irradiated silicon. I. Electron spin resonance of the Si-A center. Phys. Rev. 1961, 121, 1001. [Google Scholar] [CrossRef]
  58. Baldwin, J.A., Jr. Electron paramagnetic resonance in irradiated oxygen-doped germanium. J. Appl. Phys. 1965, 36, 793. [Google Scholar] [CrossRef]
  59. Markevich, V.P.; Hawkins, I.D.; Peaker, A.R.; Litvinov, V.V.; Murin, L.I.; Dobaczewski, L.; Lindström, J.L. Electronic properties of vacancy-oxygen complex in Ge crystals. Appl. Phys. Lett. 2002, 81, 1821. [Google Scholar] [CrossRef]
  60. Markevich, V.P.; Litvinov, V.V.; Dobaczewski, L.; Lindström, J.L.; Murin, L.I.; Vetrov, S.V.; Hawkins, I.D.; Peaker, A.R. Vacancy-oxygen complex in Ge crystals. Phys. B 2002, 340–342, 844–848. [Google Scholar] [CrossRef]
  61. Frank, F.C.; Turnbull, D. Mechanism of diffusion of copper in germanium. Phys. Rev. 1956, 104, 617. [Google Scholar] [CrossRef]
  62. Pearton, S.J. Deep metal-related centers in germanium. Solid State Electron. 1982, 25, 305–311. [Google Scholar] [CrossRef]
  63. Bracht, H. Copper related diffusion phenomena in germanium and silicon. Mater Sci. Semicond. Proc. 2004, 7, 113–124. [Google Scholar] [CrossRef]
  64. Tahini, H.A.; Chroneos, A.; Middleburgh, S.C.; Schwingenschlögl, U.; Grimes, R.W. Ultrafast palladium diffusion in germanium. J. Mater. Chem. A 2015, 3, 3832–3838. [Google Scholar] [CrossRef]
  65. Igumbor, E.; Mapasha, R.E.; Meyer, W.E. Ab initio study of aluminium impurity and interstitial-substitutional complexes in Ge using a hybrid functional (HSE). J. Electron. Mater. 2017, 46, 3880–3887. [Google Scholar] [CrossRef]
  66. Sickafus, K.E.; Minervini, L.; Grimes, R.W.; Valdez, J.A.; Ishimaru, M.; Li, F.; McClellan, K.J.; Hartmann, T. Radiation tolerance of complex oxides. Science 2000, 289, 748–751. [Google Scholar] [CrossRef] [PubMed]
  67. Van de Walle, C.G.; Neugebauer, J. Universal alignment of hydrogen levels in semiconductors, insulators and solutions. Nature 2003, 423, 626–628. [Google Scholar] [CrossRef] [PubMed]
  68. Devanathan, R.; Weber, W.J.; Gale, G.D. Radiation tolerance of ceramics- insights from atomistic simulation of damage accumulation in pyrochlores. Energy Environ. Sci. 2010, 3, 1551–1559. [Google Scholar] [CrossRef]
  69. Kushima, A.; Yildiz, B. Oxygen ion diffusivity in strained yttria stabilized zirconia: Where is the fastest strain? J. Mater. Chem. 2010, 20, 4809–4819. [Google Scholar] [CrossRef]
  70. Chroneos, A.; Schwingenschlögl, U.; Dimoulas, A. Impurity diffusion, point defect engineering and surface/interface passivation in germanium. Ann. Phys. (Berlin) 2012, 524, 123–132. [Google Scholar] [CrossRef]
  71. Cooper, S.J.; Niania, M.; Hoffmann, F.; Kilner, J.A. Back-exchange: A novel approach to quantifying oxygen diffusion and surface exchange in ambient atmospheres. Phys. Chem. Chem. Phys. 2017, 19, 12199–12205. [Google Scholar] [CrossRef] [PubMed]
  72. Bai, X.M.; Voter, A.F.; Hoagland, R.G.; Nastasi, M.; Uberuaga, B.P. Efficient annealing of radiation damage near grain boundaries via interstitial emission. Science 2010, 327, 1631–1634. [Google Scholar] [CrossRef]
  73. Chroneos, A.; Grimes, R.W.; Bracht, H. Impact of germanium on vacancy clustering in germanium-doped silicon. J. Appl. Phys. 2009, 105, 016102. [Google Scholar] [CrossRef]
  74. Liu, L.; Zhang, M.; Hu, L.J.; Di, Z.F.; Zhao, S.J. Effect of tensile strain on the electronic structure of Ge: A first-principles calculation. J. Appl. Phys. 2014, 116, 113105. [Google Scholar] [CrossRef]
  75. Rushton, M.J.D.; Chroneos, A.; Skinner, S.J.; Kilner, J.A.; Grimes, R.W. Effect of strain on the oxygen diffusion in yttria and gadolinia co-doped ceria. Solid State Ionics 2013, 230, 37–42. [Google Scholar] [CrossRef]
  76. Chroneos, A.; Londos, C.A.; Sgourou, E.N. Effect of tin doping on oxygen and carbon related defects in Czochralski silicon. J. Appl. Phys. 2011, 110, 093507. [Google Scholar] [CrossRef]
  77. Wang, W.; Janotti, A.; Van de Walle, C.G. Role of oxygen vacancies in crystalline WO3. J. Mater. Chem. C 2016, 4, 6641–6648. [Google Scholar] [CrossRef]
  78. Horlait, D.; Middleburgh, S.C.; Chroneos, A.; Lee, W.E. Synthesis and DFT investigation of new bismuth-containing MAX phases. Sci. Rep. 2016, 6, 18829. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  79. Zhu, J.; Vasilopoulou, M.; Davazoglou, D.; Kennou, S.; Chroneos, A.; Schwingenschlögl, U. Intrinsic defects and H doping in WO3. Sci. Rep. 2017, 7, 40882. [Google Scholar] [CrossRef] [PubMed]
  80. Zapata-Solvas, E.; Christopoulos, S.R.G.; Ni, N.; Parfitt, D.C.; Horlait, D.; Fitzpatrick, M.E.; Chroneos, A.; Lee, W.E. Experimental synthesis and density functional theory investigation of radiation tolerance of Zr3(Al1−xSix)C2 MAX phases. J. Am. Ceram. Soc. 2017, 100, 1377–1387. [Google Scholar] [CrossRef]
  81. Bracht, H.; Schneider, S.; Klug, J.N.; Liao, C.Y.; Hansen, J.L.; Haller, E.E.; Larsen, A.N.; Bougeard, D.; Posselt, M.; Wundisch, C. Interstitial-mediated diffusion in germanium under proton irradiation. Phys. Rev. Lett. 2009, 103, 255501. [Google Scholar] [CrossRef] [PubMed]
  82. Chroneos, A.; Jiang, C.; Grimes, R.W.; Schwingenschlögl, U.; Bracht, H. E centers in ternary Si1-x-yGexSny random alloys. Appl. Phys. Lett. 2009, 95, 112101. [Google Scholar] [CrossRef]
  83. Schneider, S.; Bracht, H.; Klug, J.N.; Hansen, J.L.; Larsen, A.N.; Bougeard, D.; Haller, E.E. Radiation-enhanced self- and boron diffusion in germanium. Phys. Rev. B. 2013, 87, 115202. [Google Scholar] [CrossRef] [Green Version]
  84. Simoen, E.; Clays, C.; Neimash, V.; Kraitchinskii, A.; Kras’ko, N.; Puzenko, O.; Blondeel, A.; Clauws, P. Deep levels in high energy proton irradiated tin-doped n-type Czochralski silicon. Appl. Phys. Lett. 2000, 76, 2838. [Google Scholar] [CrossRef]
  85. Khirunenko, L.I.; Kobzar, O.A.; Pomozov, Yu.V.; Shakhovstov, V.I.; Sosnin, M.G.; Tripachko, N.A.; Markevich, V.P.; Murin, L.I.; Peaker, A.R. Defect-impurity interactions in irradiated tin-doped Cz-Si crystals. Phys. Status Solidi C 2003, 0, 694–697. [Google Scholar] [CrossRef]
  86. Chroneos, A.; Londos, C.A.; Sgourou, E.N.; Pochet, P. Point defect engineering strategies to supress A-center formation in silicon. Appl. Phys. Lett. 2011, 99, 241901. [Google Scholar] [CrossRef]
  87. Sgourou, E.N.; Timerkaeva, D.; Londos, C.A.; Aliprantis, D.; Chroneos, A.; Caliste, D.; Pochet, P. Impact of isovalent doping on the trapping of vacancy and interstitial related defects in Si. J. Appl. Phys. 2013, 113, 239901. [Google Scholar] [CrossRef]
  88. Rushton, M.J.D.; Chroneos, A. Impact of uniaxial strain and doping on oxygen diffusion in CeO2. Sci. Rep. 2014, 4, 6068. [Google Scholar] [CrossRef] [PubMed]
  89. Chroneos, A.; Grimes, R.W.; Bracht, H.; Uberuaga, B.P. Engineering the free vacancy and active donor concentrations in phosphorus and arsenic double donor-doped germanium. J. Appl. Phys. 2008, 104, 113724. [Google Scholar] [CrossRef] [Green Version]
  90. Tsouroutas, P.; Tsoukalas, D.; Bracht, H. Experiments and simulation on diffusion and activation of codoped with arsenic and phosphorous germanium. J. Appl. Phys. 2010, 108, 024903. [Google Scholar] [CrossRef]
  91. Tahini, H.A.; Chroneos, A.; Grimes, R.W.; Schwingenschlögl, U. Co-doping with antimony to control phosphorous diffusion in germanium. J. Appl. Phys. 2013, 113, 073704. [Google Scholar] [CrossRef] [Green Version]
  92. Stathopoulos, S.; Tsetseris, L.; Pradhan, N.; Colombeau, B.; Tsoukalas, D. Millisecond non-melt laser annealing of phosphorus implanted germanium: Influence of nitrogen co-doping. J. Appl. Phys. 2015, 118, 135710. [Google Scholar] [CrossRef]
  93. Monmeyran, C.; Crowe, I.F.; Gwilliam, R.M.; Michel, J.; Kimerling, L.C.; Agarwal, A.M. Strategies for increased donor electrical activity in germanium (opto-) electronic materials: A review. Int. Mater. Rev. 2017, 62, 334–347. [Google Scholar] [CrossRef]
  94. Monmeyran, C.; Crowe, I.F.; Gwilliam, R.M.; Heidelberger, C.; Napolitani, E.; Pastor, D.; Gandhi, H.H.; Mazur, E.; Michel, J.; Agarwal, A.M.; et al. Improved retention of phosphorus donors in germanium using a non-amorphizing fluorine co-implantation technique. J. Appl. Phys. 2018, 123, 161524. [Google Scholar] [CrossRef]
  95. Wang, X.W.; Chen, J.G.; Tian, Y.W.; Wang, X.E.; Zhang, B.H.; Chang, X.H. Lattice strain dependent on ionic conductivity of Ce0.8+xY0.2−2xSrxO1.9 (x = 0–0.08) electrolyte. Solid State Ionics 2016, 296, 85–89. [Google Scholar] [CrossRef]
  96. Jung, D.H.; Lee, J.H.; Kilic, M.E.; Soon, A. Anisotropic vacancy-mediated phonon mode softening in Sm and Gd doped ceria. Phys. Chem. Chem. Phys. 2018, 20, 10048–10059. [Google Scholar] [CrossRef] [PubMed]
  97. Chroneos, A.; Grimes, R.W.; Bracht, H. Fluorine codoping in germanium to suppress donor diffusion and deactivation. J. Appl. Phys. 2009, 106, 063707. [Google Scholar] [CrossRef] [Green Version]
  98. Impellizzeri, G.; Napolitani, E.; Boninelli, S.; Sullivan, J.P.; Roberts, J.; Buckman, S.J.; Ruffell, S.; Priolo, F.; Privitera, V. Role of F on the electrical activation of As in Ge. ECS J. Solid State Sci. Technol. 2012, 1, Q44–Q46. [Google Scholar] [CrossRef]
  99. Boninelli, S.; Impellizzeri, G.; Priolo, F.; Napolitani, E.; Spinella, C. Fluorine in Ge: Segregation and EOR defects stabilization. Nucl. Instrum. Methods Phys. Res. B 2012, 282, 21–24. [Google Scholar] [CrossRef]
  100. Jung, W.S.; Park, J.H.; Nainani, A.; Nam, D.; Saraswat, K.C. Fluorine passivation of vacancy defects in bulk germanium for Ge metal-oxide-semiconductor field-effect transistor application. Appl. Phys. Lett. 2012, 101, 072104. [Google Scholar] [CrossRef]
  101. El Mubarek, H.A.W. Reduction of phosphorus diffusion in germanium by fluorine implantation. J. Appl. Phys. 2013, 114, 223512. [Google Scholar] [CrossRef]
  102. Sprouster, D.J.; Campbell, C.; Buckman, S.J.; Impellizzeri, G.; Napolitani, E.; Ruffell, S.; Sullivan, J.P. Defect complexes in fluorine-implanted germanium. J. Phys. D Appl. Phys. 2013, 46, 505310. [Google Scholar] [CrossRef]
  103. Edwardson, C.J.; Coleman, P.G.; El Mubarek, H.A.W. Positron annihilation studies of fluorine-vacancy complexes in phosphorus and fluorine implanted germanium. Semicond. Sci. Technol. 2014, 29, 035005. [Google Scholar] [CrossRef]
  104. Sanson, A.; El Mubarek, H.A.W.; Gandy, A.S.; De Salvador, D.; Napolitani, E.; Carnera, A. Formation of F6V2 complexes in F-implanted Ge determined by x-ray absorption near edge structure spectroscopy. Mater. Sci. Semicond. Proc. 2017, 62, 205–208. [Google Scholar] [CrossRef]
  105. Diebel, M.; Dunham, S.T. Ab initio calculations to model anomalous fluorine behavior. Phys. Rev. Lett. 2004, 93, 245901. [Google Scholar] [CrossRef] [PubMed]
  106. Bernardi, F.; dos Santos, J.H.R.; Behar, M. Lattice site investigation of F in preamorphized Si. Phys. Rev. B 2007, 76, 033201. [Google Scholar] [CrossRef] [Green Version]
  107. Boninelli, S.; Impellizzeri, G.; Mirabella, S.; Priolo, F.; Napolitani, E.; Cherkashin, N.; Cristiano, F. Formation and evolution of F nanobubbles in amorphous and crystalline Si. Appl. Phys. Lett. 2008, 93, 061906. [Google Scholar] [CrossRef] [Green Version]
  108. Milazzo, R.; Impellizzeri, G.; Cuscuna, M.; De Salvador, D.; Mastromatteo, M.; La Magna, A.; Fortunato, G.; Priolo, F.; Privitera, V.; Carnera, A.; et al. Oxygen behavior in germanium during melting laser thermal annealing. Mater. Sci. Semicond. Process. 2016, 42, 196–199. [Google Scholar] [CrossRef]
  109. Boninelli, S.; Milazzo, R.; Carles, R.; Houdellier, F.; Duffy, R.; Huet, K.; La Magna, A.; Napolitani, E.; Cristiano, F. Nanoscale measurements of phosphorous-induced lattice expansion in nanosecond laser annealed germanium. Appl. Phys. Lett. Mater. 2018, 6, 058504. [Google Scholar] [CrossRef] [Green Version]
  110. Milazzo, R.; Linser, M.; Scarpa, D.; Carnera, A.; Andrighetto, A.; Napolitani, E. Indiffusion of of oxygen in germanium induced by pulsed laser melting. Mater. Sci. Semicond. Process. 2018, 88, 93–96. [Google Scholar] [CrossRef]
  111. Christopoulos, S.R.G.; Sgourou, E.N.; Vovk, R.V.; Chroneos, A.; Londos, C.A. Isovalent doping and the CiOi defect in germanium. J. Mater. Sci. Mater. Electron. 2018, 29, 4261–4265. [Google Scholar] [CrossRef]
  112. Chroneos, A.; Londos, C.A.; Sgourou, E.N.; Vovk, R.V. Strategies to supress A-center formation in silicon and germanium from a mass action analysis viewpoint. J. Mater. Sci. Mater. Electron. 2014, 25, 1388–1392. [Google Scholar] [CrossRef]
  113. Wang, H.; Chroneos, A.; Londos, C.A.; Sgourou, E.N.; Schwingenschlögl, U. Doping strategies to control A-centres in silicon: Insights from hybrid density functional theory. Phys. Chem. Chem. Phys. 2014, 16, 8487–8497. [Google Scholar] [CrossRef] [PubMed]
  114. Scapellato, G.G.; Boninelli, S.; Napolitani, E.; Bruno, E.; Smith, A.J.; Mirabella, S.; Mastromatteo, M.; De Salvador, D.; Gwilliam, R.; Spinella, C.; et al. Self-interstitials injection in crystalline Ge induced by GeO2 nanoclusters. Phys. Rev. B 2014, 84, 024104. [Google Scholar] [CrossRef]
  115. Mirabella, S.; De Salvador, D.; Napolitani, E.; Bruno, E.; Priolo, F. Mechanisms of boron diffusion in silicon and germanium. J. Appl. Phys. 2013, 113, 031101. [Google Scholar] [CrossRef]
  116. Maeta, T.; Sueoka, K. DFT calculations of stability and diffusion mechanisms of impurity atoms in Ge crystals. J. Appl. Phys. 2014, 116, 073505. [Google Scholar] [CrossRef]
  117. Wu, Y.; Luo, S.; Wang, W.; Masudy-Panah, S.; Lei, D.; Liang, G.; Gong, X.; Yeo, Y.C. Ultra-low specific contact resistivity (1.4 × 10−9 Ω cm2) for metal contacts on in-situ Ga-doped Ge0.95Sn0.05 film. J. Appl. Phys. 2017, 122, 224503. [Google Scholar] [CrossRef]
  118. Aubin, J.; Hartmann, J.M.; Barness, J.P.; Pin, J.B.; Bauer, M. Very low temperature epitaxy of heavily in situ phosphorous doped Ge layers and high Sn content GeSn layers. ECS J. Solid State Sci. Technol. 2017, 6, P21–P26. [Google Scholar] [CrossRef]
  119. Wu, Y.; Wang, W.; Masudy-Panah, S.; Li, Y.; Han, K.; He, L.; Zhang, Z.; Lei, D.; Xu, S.; Kang, Y.; et al. Sub 10−9 Ω cm2 specific contact resistivity (down to 4.4 × 10−10 Ω cm2) for metal contact on Ga and Sn surface-segregated GeSn film. IEEE Trans. Electron Dev. 2018, 65, 5275–5281. [Google Scholar] [CrossRef]
  120. Vohra, A.; Khanam, A.; Slotte, J.; Makkonen, I.; Pourtois, G.; Porret, C.; Loo, R.; Vandervorst, W. Evolution of phosphorus-vacancy clusters in epitaxial germanium. J. Appl. Phys. 2019, 125, 025701. [Google Scholar] [CrossRef] [Green Version]
  121. Van Dal, M.J.H.; Duriez, B.; Vellianitis, G.; Doornbos, G.; Passlack, M.; Yeo, Y.-C.; Diaz, C.H. Germanium n-channel planar FET and FinFET: Gate-stack and contact optimization. IEEE Trans. Electron Dev. 2015, 62, 3567–3574. [Google Scholar] [CrossRef]
  122. Hsu, W.; Wen, F.; Wang, X.; Wang, Y.; Dolocan, A.; Roy, A.; Kim, T.; Tutuc, E.; Banerjee, S.K. Laser spike annealing for shallow junctions in Ge CMOS. IEEE Trans. Electron Dev. 2017, 64, 346–352. [Google Scholar] [CrossRef]
  123. Murphy, S.T.; Chroneos, A.; Grimes, R.W.; Jiang, C.; Schwingenschlögl, U. Phase stability and the arsenic vacancy defect in InxGa1−xAs. Phys. Rev. B 2011, 84, 184108. [Google Scholar] [CrossRef]
  124. Saltas, V.; Chroneos, A.; Vallianatos, F.A. Composition and temperature dependence of self-diffusion in Si1−xGex alloys. Sci. Rep. 2017, 7, 1374. [Google Scholar] [CrossRef] [PubMed]
  125. Chroneos, A.; Jiang, C.; Grimes, R.W.; Schwingenschlögl, U.; Bracht, H. Defeft interactions in Sn1-xGex random alloys. Appl. Phys. Lett. 2009, 94, 252104. [Google Scholar] [CrossRef]
  126. Murphy, S.T.; Chroneos, A.; Jiang, C.; Schwingenschlögl, U.; Grimes, R.W. Deviations from Vegard’s law in ternary III-V alloys. Phys. Rev. B 2010, 82, 073201. [Google Scholar] [CrossRef]
  127. Dey, A.; Chroneos, A.; Braithwaite, N.S.; Gandhiraman, R.P.; Krishnamurthy, S. Plasma engineering of graphene. Appl. Phys. Rev. 2016, 3, 021301. [Google Scholar] [CrossRef] [Green Version]
  128. Varotsos, P. Calculation of the migration volume of vacancies in ionic solids from macroscopic parameters. Phys. Status Solidi A 1978, 47, K133–K136. [Google Scholar] [CrossRef]
  129. Varotsos, P.; Alexopoulos, K. Thermodynamics of Point Defects and Their Relation with the Bulk Properties; Amelinckx, S., Gevers, R., Nihoul, J., Eds.; North-Holland: Amsterdam, The Netherlands, 1986. [Google Scholar]
  130. Varotsos, P. Comparison of models that interconnect point defect parameters in solids with bulk properties. J. Appl. Phys. 2007, 101, 123503. [Google Scholar] [CrossRef]
  131. Varotsos, P. Point defect parameters in β-PbF2 revisited. Solid State Ionics 2008, 179, 438–441. [Google Scholar] [CrossRef]
  132. Zhang, B.; Wu, X.; Xu, J.; Zhou, R. Application of the cBΩ model for the calculation of oxygen self-diffusion coefficients in minerals. J. Appl. Phys. 2010, 108, 053505. [Google Scholar] [CrossRef]
  133. Vallianatos, F.; Saltas, V. Application of the cBΩ model to the calculation of diffusion parameters of He in olivine. Phys. Chem. Miner. 2014, 41, 181–188. [Google Scholar] [CrossRef]
  134. Zhang, B.; Shan, S. Application of the cBΩ model to the calculation of diffusion parameters of Si in silicates. Geochem. Geophys. Geosyst. 2015, 16, 705–718. [Google Scholar] [CrossRef]
  135. Chroneos, A.; Vovk, R.V. Modeling self-diffusion in UO2 and ThO2 by connecting point defect parameters with bulk properties. Solid State Ionics 2015, 274, 1–3. [Google Scholar] [CrossRef]
  136. Cooper, M.W.D.; Grimes, R.W.; Fitzpatrick, M.E.; Chroneos, A. Modeling oxygen self-diffusion in UO2 under pressure. Solid State Ionics 2015, 282, 26–30. [Google Scholar] [CrossRef]
  137. Sarlis, N.V.; Skordas, E.S. Bulk moduli of PbSxSe1−x, PbSxTe1−x and PbSexTe1-x from the combination of the cBΩ model with the modified Born theory compared to generalized gradient approximation. Mod. Phys. Lett. B 2016, 30, 1650409. [Google Scholar] [CrossRef]
  138. Chroneos, A. Connecting point defect parameters with bulk properties to describe diffusion in solids. Appl. Phys. Rev. 2016, 3, 041304. [Google Scholar] [CrossRef]
  139. Parfitt, D.C.; Cooper, M.W.D.; Rushton, M.J.D.; Christopoulos, S.-R.G.; Fitzpatrick, M.E.; Chroneos, A. Thermodynamic calculations of oxygen self-diffusion in mixed-oxide nuclear fuels. RSC Adv. 2016, 6, 74018–74028. [Google Scholar] [CrossRef]
  140. Saltas, V.; Chroneos, A.; Vallianatos, F.A. A thermodynamic approach to self-diffusion in silicon: Evidence of a single diffusion mechanism? Mater. Chem. Phys. 2016, 181, 204–208. [Google Scholar] [CrossRef]
  141. Sarlis, N.V.; Skordas, E.S. Estimating the compressibility of osmium from recent measurements of Ir-Os alloys under high pressure. J. Phys. Chem. A 2016, 120, 1601–1604. [Google Scholar] [CrossRef]
  142. Saltas, V.; Chroneos, A.; Vallianatos, F.A. A thermodynamic approach of self- and hetero-diffusion in GaAs: Connecting point defect parameters with bulk properties. RSC Adv. 2016, 6, 53324–53330. [Google Scholar] [CrossRef]
  143. Sarlis, N.V.; Skordas, E.S. Interconnection of a thermodynamical method for point defect parameters in solids with the dynamical theory of diffusion. Solid State Ionics 2019, 335, 82–85. [Google Scholar] [CrossRef]
Figure 1. A schematic of the arsenic-vacancy (AsnV) defect clusters in Ge. Black circles represent the As atoms, white circles the Ge atoms, and cubes the vacancies [4].
Figure 1. A schematic of the arsenic-vacancy (AsnV) defect clusters in Ge. Black circles represent the As atoms, white circles the Ge atoms, and cubes the vacancies [4].
Applsci 09 02454 g001
Figure 2. The determined arsenic concentration profiles with respect to depth for arsenic-doped (blue line 3 × 1013 As/cm2 with 50 keV implantation energy) and fluorine + arsenic-co-doped (red line As: 3 × 1013 As/cm2 with 50 keV implantation energy; F: 1 × 1015 F/cm2 with 35 keV implantation energy) germanium [38].
Figure 2. The determined arsenic concentration profiles with respect to depth for arsenic-doped (blue line 3 × 1013 As/cm2 with 50 keV implantation energy) and fluorine + arsenic-co-doped (red line As: 3 × 1013 As/cm2 with 50 keV implantation energy; F: 1 × 1015 F/cm2 with 35 keV implantation energy) germanium [38].
Applsci 09 02454 g002
Figure 3. Diffusion of the PV pair via the ring mechanism of diffusion in the presence of an oversized dopant atom. Reproduced by permission from the PCCP Owner Societies [54].
Figure 3. Diffusion of the PV pair via the ring mechanism of diffusion in the presence of an oversized dopant atom. Reproduced by permission from the PCCP Owner Societies [54].
Applsci 09 02454 g003
Figure 4. Diffusion of the PV in the presence of (a) Sn and (b) Hf significantly increases the migration energy barrier. Reproduced by permission from the PCCP Owner Societies [54].
Figure 4. Diffusion of the PV in the presence of (a) Sn and (b) Hf significantly increases the migration energy barrier. Reproduced by permission from the PCCP Owner Societies [54].
Applsci 09 02454 g004
Figure 5. The calculated, by Tahini et al. [64], minimum migration energy mechanism is the direct interstitial process Pdi ↔ Pdi. Copyright 2015 Royal Society of Chemistry [64].
Figure 5. The calculated, by Tahini et al. [64], minimum migration energy mechanism is the direct interstitial process Pdi ↔ Pdi. Copyright 2015 Royal Society of Chemistry [64].
Applsci 09 02454 g005
Figure 6. The migration energy barriers for metals (a) Ag, Pt, Li and (b) Pd, Au, and Cu in Ge through the direct interstitial mechanism. Copyright 2015 Royal Society of Chemistry [64].
Figure 6. The migration energy barriers for metals (a) Ag, Pt, Li and (b) Pd, Au, and Cu in Ge through the direct interstitial mechanism. Copyright 2015 Royal Society of Chemistry [64].
Applsci 09 02454 g006
Figure 7. The A-center. The red sphere is the O atom and the white circle the vacancy. Reproduced by permission from the PCCP Owner Societies 2014 [113].
Figure 7. The A-center. The red sphere is the O atom and the white circle the vacancy. Reproduced by permission from the PCCP Owner Societies 2014 [113].
Applsci 09 02454 g007

Share and Cite

MDPI and ACS Style

Sgourou, E.N.; Panayiotatos, Y.; Vovk, R.V.; Kuganathan, N.; Chroneos, A. Diffusion and Dopant Activation in Germanium: Insights from Recent Experimental and Theoretical Results. Appl. Sci. 2019, 9, 2454. https://doi.org/10.3390/app9122454

AMA Style

Sgourou EN, Panayiotatos Y, Vovk RV, Kuganathan N, Chroneos A. Diffusion and Dopant Activation in Germanium: Insights from Recent Experimental and Theoretical Results. Applied Sciences. 2019; 9(12):2454. https://doi.org/10.3390/app9122454

Chicago/Turabian Style

Sgourou, E. N., Y. Panayiotatos, R. V. Vovk, N. Kuganathan, and A. Chroneos. 2019. "Diffusion and Dopant Activation in Germanium: Insights from Recent Experimental and Theoretical Results" Applied Sciences 9, no. 12: 2454. https://doi.org/10.3390/app9122454

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop