Next Article in Journal
Lightweight and High-Stiffness Metal Optical Systems Based on Additive Manufacturing
Next Article in Special Issue
Hot-Carrier Damage in N-Channel EDMOS Used in Single Photon Avalanche Diode Cell through Quasi-Static Modeling
Previous Article in Journal
A Quad-Band Highly Selective Frequency Selective Surface with Ultra-Wideband Rejection
Previous Article in Special Issue
Ultrathin Flexible Encapsulation Materials Based on Al2O3/Alucone Nanolaminates for Improved Electrical Stability of Silicon Nanomembrane-Based MOS Capacitors
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

The Understanding and Compact Modeling of Reliability in Modern Metal–Oxide–Semiconductor Field-Effect Transistors: From Single-Mode to Mixed-Mode Mechanisms

by
Zixuan Sun
1,*,
Sihao Chen
2,
Lining Zhang
2,
Ru Huang
1 and
Runsheng Wang
1,*
1
School of Integrated Circuits, Peking University, Beijing 100871, China
2
School of Electronic and Computer Engineering, Peking University Shenzhen Graduate School, Shenzhen 518055, China
*
Authors to whom correspondence should be addressed.
Micromachines 2024, 15(1), 127; https://doi.org/10.3390/mi15010127
Submission received: 20 December 2023 / Revised: 5 January 2024 / Accepted: 9 January 2024 / Published: 12 January 2024
(This article belongs to the Special Issue Reliability Issues in Advanced Transistor Nodes)

Abstract

:
With the technological scaling of metal–oxide–semiconductor field-effect transistors (MOSFETs) and the scarcity of circuit design margins, the characteristics of device reliability have garnered widespread attention. Traditional single-mode reliability mechanisms and modeling are less sufficient to meet the demands of resilient circuit designs. Mixed-mode reliability mechanisms and modeling have become a focal point of future designs for reliability. This paper reviews the mechanisms and compact aging models of mixed-mode reliability. The mechanism and modeling method of mixed-mode reliability are discussed, including hot carrier degradation (HCD) with self-heating effect, mixed-mode aging of HCD and Bias Temperature Instability (BTI), off-state degradation (OSD), on-state time-dependent dielectric breakdown (TDDB), and metal electromigration (EM). The impact of alternating HCD-BTI stress conditions is also discussed. The results indicate that single-mode reliability analysis is insufficient for predicting the lifetime of advanced technology and circuits and provides guidance for future mixed-mode reliability analysis and modeling.

1. Introduction

To sustain the scaling down of complementary metal–oxide–semiconductors (CMOS), new materials and structures have been continuously incorporated into the design and manufacturing of CMOS devices, such as High-κ/metal gate (HKMG) [1,2,3], strain technology [4,5,6], and multi-gate transistors [7,8,9,10]. For the past 50 years, CMOS devices have evolved from planar transistors with micron-level channel lengths to gate-all-around (GAA) transistors with sub-twenty nanometer channel lengths [11,12,13,14,15,16]. However, with the scaling down of CMOS, the continuous application of new technologies, and the increasing complexity of manufacturing processes, reliability issues are gradually emerging as a significant challenge in device applications [17,18,19,20,21,22,23].
In practical circuit operations, devices experience various reliability issues, triggering non-ideal effects such as circuit functional aging and failure. As shown in Figure 1, taking a typical inverter circuit as an example, devices undergo three typical biasing conditions: gate voltage (Vgs) > 0 V, drain voltage (Vds) = 0 V; |Vgs| > 0 V, |Vds| > 0 V and Vgs = 0 V, |Vds| > 0 V. The degradation phenomena observed in NMOS or PMOS devices under the bias condition of |Vgs| > 0 V, Vds = 0 V are, respectively, termed positive bias temperature instability (PBTI) [24,25,26] and negative bias temperature instability (NBTI) [27,28,29,30,31,32,33]. The degradation phenomenon observed when the device is under the bias condition of |Vgs| > 0 V, |Vds| > 0 V is referred to as hot carrier degradation (HCD) [34,35,36,37,38,39,40,41]. The degradation observed under the bias condition of Vgs = 0 V, |Vds| > 0 V is termed off-state degradation (OSD) [42,43,44]. Meanwhile, during device operation, the devices also face failure issues such as time-dependent dielectric breakdown (TDDB) [45,46,47] and electromigration (EM) [48,49,50].
In previous research, in-depth studies have been conducted on the degradation mechanisms and aging models of individual degradation modes. For instance, the accepted mechanism for BTI is the capture/emission of carriers by oxide traps, leading to a significant degradation in the threshold voltage [51,52]. The hydrogen bridges and hydroxyl-E’ are widely acknowledged as the origins of BTI traps [53,54,55]. For HCD, the phenomenon involves the breakage of Si-H bonds by high-energy hot carriers, forming interface states that induce degradation in the threshold voltage and mobility [56,57,58]. As device nodes advance and carrier energy decreases, electron–electron scattering (EES) and multiple vibration excitation (MVE) mechanisms have been proposed to explain the contributions of low-energy carriers to HCD [59,60,61]. Simultaneously, the contribution of oxide trap-induced degradation in HCD becomes more pronounced, especially in FinFET devices, where HCD is considered a combined effect of oxide traps and interface states [62,63]. In comparison to HCD and BTI, there is less research on OSD due to its less pronounced occurrence in long-channel devices. OSD encompasses the combined action of carriers and secondary carriers generated by impact ionization, resulting in a reduction in threshold voltage or non-monotonic changes [64]. Based on the corresponding mechanisms of different degradations, compact models are proposed for predicting aging. In the past, research on the failure mechanisms of TDDB and EM mainly focused on gate dielectric breakdown under Vg stress and source/drain metal electromigration [65,66,67,68] by establishing a failure extrapolation model to constrain circuit design, such as maximum voltage and minimum metal line width.
However, in practical device and circuit applications, devices do not undergo single-mode reliability issues; instead, they operate under mixed-mode issues [69,70,71]. Previous research has predominantly focused on pure single-mode reliability and independently modeled each mechanism. This approach, which is based on the prediction of aging using a single-mode reliability mechanism, fails to accurately predict the lifetimes of advanced devices. Especially with the increasingly scarce circuit design margin today, complex circuit systems design based on advanced devices demand more precise aging prediction models to ensure the most appropriate design margins [72,73]. Therefore, recent research has gradually turned its attention to the study of the mechanisms and models of mixed-mode reliability.
In this paper, we provide a comprehensive review of the mechanisms and the model concerning mixed-mode reliability. The mixed-mode reliability mechanisms and the impact of alternating stress were discussed separately. First, we summarized the mixed-mode aging mechanisms, including the hot carrier degradation with self-heating effect, hot carrier degradation with inhomogeneous BTI, and OSD, and provided existing modeling methods. We also analyzed the mixed-mode failure mechanisms of on-state TDDB and gate metal electromigration. Then, we summarized the HCD-BTI alternating stress degradation. It is underscored that employing a single-mode reliability model for modeling proves inadequate in accurately predicting mixed-stress aging in advanced devices. Consequently, there arises a critical imperative to establish a prediction model and framework based on mixed-mode reliability.

2. Mixed-Mode Reliability Mechanisms

2.1. Hot Carrier Degradation with Self-Heating Effect

The introduction of materials with low thermal conductivity and 3D device geometries results in FinFET devices having limited heat dissipation capability and more severe self-heating effects [74,75,76,77]. Previous studies on the self-heating effect of FinFET technology have shown a significant impact on performance and HCD [78,79,80,81]. As shown in Figure 2, the self-heating dominant region coincides with the HCD stress region. Thus, the impact of the self-heating effect on HCD requires a thorough investigation.
To investigate the hot carrier degradation with a self-heating effect, two key issues need to be addressed: (1) how to accurately characterize the self-heating effect of the device and (2) how to analyze the temperature dependence of hot carrier degradation accurately. There are several methods for characterizing the self-heating effect, such as the Pulse IV method [82,83], the gate resistance method [84], the heat-sensor method [85], etc. The Pulse IV method involves comparing the current under low-duty-cycle ultra-narrow pulse signals with DC I-V to extract the self-heating effect. This method relies on an ultra-fast measurement platform to ensure that the pulse width is less than the device’s thermal time constant (τ < 10 ns) and provides enough scattering time to avoid thermal accumulation effects. The gate resistance method requires a device with a specific gate structure, applying voltage to both ends of the four-terminal gate and testing the gate current at the other two ends, inferring the channel temperature by comparing the change in gate resistance. Similarly, the heat-sensor method relies on a common source configuration, where one MOS device acts as the “heat” and the adjacent MOS acts as the “sensor”. When voltage is applied to the “heat” device, its self-heating effect raises the ambient temperature around the “sensor” device, affecting the transfer characteristics of the “sensor” device. However, the temperature around the “sensor” device will be lower than the actual self-heating temperature of the “heat” device, leading to an underestimation of the self-heating effect.
After accurately characterizing the self-heating effect of FinFETs, it is essential to incorporate the temperature influence into the degradation of hot carriers. Therefore, the temperature dependence of HCD becomes a crucial aspect to explore further. As mentioned earlier, the degradation amount of HCD in long-channel devices decreases with increasing channel temperature, showing negative temperature dependence due to the single vibration excitation (SVE) mechanism mainly dominating in long-channel devices, as elevated temperature increases lattice scattering, reducing carrier energy [86,87]. Conversely, in short-channel devices, the degradation amount of HCD increases with rising channel temperature, exhibiting positive temperature dependence due to the MVE mechanism mainly dominating in short-channel devices, as higher temperature enhances carrier energy [88,89]. However, recent studies have found that HCD in advanced process node devices exhibits non-uniform temperature dependence, meaning that the temperature dependence changes with different bias conditions and stress times [90,91], as shown in Figure 3.
The cause of non-uniform temperature dependence in HCD is the varying activation energy (Ea) of different traps [37,90]. The proportions of various traps also change with different bias conditions, ambient temperatures, and degradation times, leading to a macroscopic change in the Ea of hot carrier degradation. Viewing this non-uniform temperature dependence from the perspective of trap generation mechanisms reveals that it is caused by the change in the dominant mechanism of trap generation under different stress conditions.
The coupled degradation of hot carriers and self-heating effects can be reflected in the frequency dependence and layout dependence of HCD. As shown in Figure 4, with the increase in the device frequency, self-heating effects gradually decrease, leading to a reduction in HCD degradation [92]. Meanwhile, multi-fin devices experience more severe self-heating effects due to mutual heating, resulting in more severe HCD for multi-fin devices [93], as shown in Figure 5. However, these studies are based on characterization results under worst-case stress conditions (Vgs = Vds). In actual circuits, devices are not always or continuously under worst-case stress conditions. Due to the non-uniform temperature dependence of HCD, the degradation of HCD does not necessarily increase with the increase in self-heating effects in the full bias map. Thus, hot carrier degradation may have different layout and frequency dependencies in different bias ranges.

2.2. Hot Carrier Degradation with Inhomogeneous Bias Temperature Instability

Generally, under bias conditions where Vgs > 0 V and Vds > 0 V, the predominant degradation is commonly attributed to hot carrier degradation. However, across a wide range of {Vds, Vgs} bias maps, the degradation is not solely governed by a single mechanism but rather exhibits a mixed-mode degradation mechanism, as shown in Figure 6. In previous studies, the mixed HCD and inhomogeneous BTI degradation existed in Vgs > 0 V, Vds > 0 V stress conditions, as shown in Figure 7 [94]. Hence, the pressing challenge is to analyze the contributions of inhomogeneous BTI components and pure HCD components and establish an accurate predictive model.
One approach involves analyzing the contribution of inhomogeneous BTI from the perspective of the vertical electric field distribution in the channel, thereby isolating the contribution of pure HCD [95]. It has been observed that under HCD stress, BTI exhibits inhomogeneous distribution. This is due to changes in channel potential and carrier distribution influenced by non-equilibrium transport. Early attempts involved establishing a simple model for inhomogeneous BTI degradation by calculating the impact of Vds on channel potential. For example, at Vgs = Vds, the inhomogeneous BTI degradation is approximately 50% of that under BTI stress alone, as shown in Figure 8. Based on this approach, a consolidated model for HCD degradation decoupling analysis and inhomogeneous BTI contributions can be established [39]. The degradation contribution ratio of pure HCD and inhomogeneous BTI under different frequency {|Vgs| > 0, |Vds| > 0} stresses can be decoupled and analyzed across the entire voltage domain, as shown in Figure 9. When the frequency reaches 1 MHz, the contribution of fast traps is neglected, leading to a reduced proportion of BTI components. However, at 1 GHz, the self-heating effect of the device decreases. For nFinFET, the oxide trap 2 of HCD has a larger Ea than the PBTI trap, causing an increased proportion of PBTI at GHz. In contrast, for pFinFET, the NBTI trap has a larger Ea, resulting in a reduced proportion of NBTI at GHz. This analytical approach can effectively estimate inhomogeneous BTI degradation but overlooks the impact of secondary effects in non-equilibrium transport. Subsequent research revealed that secondary carriers generated by non-equilibrium transport affect the carrier distribution near the source region, influencing inhomogeneous BTI degradation. This study suggests that high Vds bias not only reduces the occupancy probability of traps at the drain region but also affects traps near the source region [94,96].

2.3. Mechanisms in Off-State Degradation

The off-state bias condition (|Vgs| = 0 V, |Vds| > 0 V) is a common bias condition in practical circuit operations. Therefore, studying the aging mechanisms and modeling of devices under off-sate conditions is crucial for predicting device lifetime and designing aging-aware circuits. In HKMG planar devices, more publications report that off-state stress leads to an increase in on-state current degradation and a decrease in threshold voltage, also called the hot-electron-induced punch-through (HEIP) effect [97,98,99], as shown in Figure 10. This degradation phenomenon is explained as secondary carriers being captured by traps near the drain region, causing a decrease in effective channel length, resulting in reduced threshold voltage and an increase in leakage current. However, in advanced FinFETs, off-state degradation is considered a complex phenomenon involving multiple electrical traps and mechanisms [100,101]. As shown in Figure 11, the non-monotonic shift of threshold voltage caused by the contribution of multiple electrical traps has been observed in FinFETs.
For PMOS, secondary electrons caused by band-to-band tunneling and impact ionization (I/I) are observed in the channel-drain region. These electrons are trapped by the oxide field into gate oxide traps near the drain region, such as PBTI. The high-energy part is accelerated by the lateral electric field, breaking Si-H bonds near the source region, like electron-induced HCD (eHCD), resulting in a significant decrease in |Vth| and degradation of mobility. Simultaneously, high-energy hole injections from the source region under lateral electric field acceleration can also break Si-H bonds near the drain region, causing Vth degradation similar to hole-induced HCD (hHCD). Furthermore, both eHCD and hHCD lead to degradation in subthreshold swing and mobility. Similar mechanisms exist in NMOS. For NMOS, secondary holes are trapped by the electric field into gate oxide traps near the drain region, such as NBTI, or break Si-H bonds in the source region under lateral electric field acceleration, like hHCD. Simultaneously, the high-energy part of electrons can also break Si-H bonds in the drain region, causing Vth degradation similar to eHCD. Since HCD is almost irreversible, PBTI in pFinFET (NBTI in nFinFET) contributes to the recoverable part of off-state stress degradation. It is worth noting that the main HCD mechanisms are different for different device types. Due to the higher injection energy of holes (4.7 eV) and the lower saturation value of interface states, hHCD in nFinFET can be neglected, but in pMOS, where holes are the main charge carriers, hHCD cannot be ignored. Therefore, in nFinFET, the main trap types are NBTI and eHCD traps, while in pFinFET, the main traps are PBTI, hHCD, and eHCD traps. Based on the above mechanism, HCD and BTI models are employed to describe the degradation contributions of each component in OSD. The BTI recovery model is utilized to predict OSD recovery, as the recoverable traps of BTI contribute to the recovery of OSD. This model can effectively predict both the degradation and recovery of the threshold voltage. Simultaneously, compact models for subthreshold swing and mobility degradation are established based on the saturated power-law model due to the depletion of the available Si–H bond.

2.4. On-State Time-Dependent Dielectric Breakdown with Self-Heating Effect

In planar devices, much research has focused on conventional gate-only time-dependent dielectric breakdown (Vgs-only TDDB) under stress conditions where the gate voltage is applied without drain voltage (Vgs > 0, Vds = 0). On the other hand, on-state TDDB (Vgs > 0, Vds > 0) has received less attention due to the reduction in the gate oxide field caused by applying drain voltage, leading to improved lifetime for planar devices under on-state TDDB conditions. However, with the advancement to FinFET technology at advanced technology nodes, the impact of on-state TDDB on device lifetime becomes more severe, as shown in Figure 12 [102,103]. Experimental results also indicate that the on-state TDDB lifetime of FinFET decreases with increasing drain bias. A widely accepted explanation is that the self-heating effect under on-state conditions facilitates TDDB. With a larger drain bias, the device has higher power dissipation, and the more severe self-heating effect accelerates the breakdown of the gate oxide. TEM characterization analysis has revealed that under on-state TDDB stress conditions, breakdown occurs near the middle of the Fin, as shown in Figure 13. The observations show that under on-state TDDB stress, the “hillock” on the silicon Fin penetrates through the dielectric layer (the dielectric breakdown-induced epitaxy (DBIE)) [102]. In previous reports, the DBIE phenomenon of Vgs-only TDDB occurred at the bottom of Fin [104]. The breakdown point has shifted from the bottom of the Fin in Vgs-only TDDB to the middle of the Fin in on-state TDDB. Simulations using multi-physics field simulation, considering self-heating effects and electric field distribution, have illustrated that a local hot spot in the middle of the Fin is critical to the occurrence of breakdown in the middle. Thus, the lifetime prediction model for on-state TDDB not only needs to consider the extrapolation of the gate electric field but also the influence of dissipated power [103].
However, prior research did not consider the influence of hot carriers in on-state TDDB, so understanding the role of hot carriers in on-state TDDB and how to characterize their impact remains an area for further exploration.

2.5. Gate Metal Electromigration with On-State Soft Breakdown

Due to the extremely weak gate current, gate metal electromigration is typically overlooked in device and circuit design. However, past research has revealed that a mixed mode of self-heating effects and soft breakdown can lead to gate metal electromigration [97]. As shown in Figure 14, TEM images illustrate non-uniform contrast in the M0 layer after a soft breakdown in the on-state, indicating gate metal electromigration. Simultaneously, as soft breakdown deteriorates, gate leakage current increases, aggravating gate metal electromigration and resulting in void formation. The results indicate tungsten metal ions diffuse to the gate through Via. Other metal ions, such as titanium from Via filler, remain uncontaminated. Correspondingly, there is no occurrence of gate metal electromigration under Vgs-only soft breakdown stress conditions. Simulations considering gate leakage current and self-heating effects indicate that the combined effect of increased leakage current and self-heating is a significant factor causing gate metal electromigration. A layout design featuring a double via is proposed to significantly mitigate gate metal electromigration failure by reducing gate metal current and self-heating effects.
It is worth noting that as gate metal lines and transistors are tightly scaled at advanced nodes, gate metal electromigration reliability becomes more severe. However, addressing this issue is crucial for enhancing the future reliability design of advanced circuits.

3. Impact of Alternating Stress Conditions

During the operation of circuits, devices typically undergo complex alternating stress conditions. In digital circuits, devices are often influenced by BTI and off-state stress during signal stability, while during signal transitions, they are affected by HCD. In addition, some analog circuits (such as ADCs, amplifiers, etc.) may operate under alternating HCD and BTI stresses. Therefore, in practical circuit aging analysis, analyzing aging under alternating bias conditions becomes crucial. Past research indicates that models simply superimposing single degradation mechanisms struggle to precisely match real experimental data, as shown in Figure 15 [95,105,106,107]. This is attributed to the influence of two major factors: (1) The HCD phase includes contributions from inhomogeneous BTI. When analyzing alternating HCD-BTI stresses, it is necessary to consider the historical effect of inhomogeneous BTI. (2) The influence of HCD stress on the recovery process of BTI traps. The secondary carriers from HCD can significantly enhance the recovery effect of BTI reported in the previous study, as shown in Figure 16. This may be attributed to the substantial generation of secondary electrons in PMOS under severe Vds stress, leading to the excessive emission of NBTI traps [108]. Therefore, in establishing a mixed degradation model, it is necessary to consider the inhomogeneous BTI degradation within HCD. Simultaneously, it is necessary to consider the impact of the historical effects of inhomogeneous BTI on the pure BTI stage and the influence of the historical effects of pure BTI on inhomogeneous BTI. In the recovery stage, it is essential to develop BTI recovery models under different Vds voltages to accurately describe the recovery of BTI during the HCD stress stage.
Moreover, for FinFETs, the self-heating effect also plays a crucial role in mixed mode degradation under alternating stress conditions. The transient self-heating effect introduced during the HCD stage will have an impact on the degradation and recovery stages of BTI in alternating HCD-BTI stress conditions. Thus, in the actual circuit simulation process, the HCD-BTI mixed stress model with a transient self-heating effect needs to be established [71]. As shown in Figure 17, a mixed-mode HCD-BTI aging prediction framework containing transient self-heating effects is proposed. This framework has been implemented and validated using silicon data. The heating and cooling stages are divided into multiple time intervals, incorporating the historical effects of BTI degradation at different temperatures through the introduction of the effective time (teff) concept. Therefore, when assessing the degradation of complex alternating stress waveforms, one not only needs to consider multiple mechanisms for constructing aging models but also faces the challenge of the low computational efficiency of complex mixed aging models.
Consequently, numerous frameworks integrating machine learning for aging evaluation have been introduced, aiming to enhance prediction efficiency while ensuring accuracy and minimizing invasiveness. Recurrent neural networks (RNNs) are a widely used neural network architecture. Their distinctive recurrent concept and, most importantly, the structure of long short-term memory (LSTM) networks enable them to perform well in addressing and predicting sequential data problems. Considering the compatibility of the continuous-time equations of RNNs with transient circuit simulations, RNNs demonstrate applicability in modeling aging circuits [109]. While in practical applications of circuit simulation, an RNN model, with its internal feedback, may not be stable in circuit simulation. In [110], the support vector machine (SVM) model is used to capture the relationship between signal probabilities and delay degradation of cells. However, the impractical assumptions about constant supply voltages and temperatures cause an obvious loss of accuracy. A versatile aging-aware delay model for generic cell libraries has been introduced, utilizing transistor-level SPICE simulations and feed-forward neural networks (FFNNs), which demonstrates that the proposed model achieves fast estimation of the aging-induced delay with high accuracy close to transistor-level simulation [111]. Machine learning (ML) methods can be used to predict the aging delay in transistors and cell circuits, which map the device degradation to the aging delay of cell circuits, thereby substantially amplifying prediction efficiency [112,113,114,115]. Despite the promise of potentially replacing aging simulations, there is still a need to integrate both fresh and stress simulations into the aging evaluation process, especially in emerging usage scenarios. Of particular significance is the observation that their input features lack considerations for circuit structure, resulting in a realized enhancement in efficiency that falls short of attaining optimal levels. In [116], an innovative modeling framework is introduced for rapid aging-aware timing analysis, utilizing a temporal-spatial graph neural network (GNN). This framework employs a gated tanh unit (GTU) as the temporal network, extracting device degradation from dynamic biases. Simultaneously, it incorporates inductive GraphSAGE as the spatial network to gather comprehensive graph information from circuit topology and output circuit aging delay. This pioneering approach, distinguished by its exceptional feature capture capability, markedly enhances prediction efficiency, particularly within the context of nano-scale technology.
In summary, these reported works collectively contribute to advancing the understanding and modeling of aging effects in modern circuits, offering diverse solutions to the challenges posed by predicting circuit aging under complex waveforms from neural network-assisted to temporal-spatial GNN approaches.

4. Conclusions

In this paper, we have reviewed recent research on the mixed-mode reliability of MOSFET. The introduction of advanced devices has led to more complex aging and failure mechanisms due to the self-heating effects. This complexity exacerbates the difficulty in establishing accurate compact models. Moreover, a more accurate and reliable compact model can only be established through research that better aligns with the mixed-mode reliability encountered in practical device usage. Especially in the current scenario where design margins are becoming increasingly constrained, taking a crucial step from studying single-mode reliability to investigating mixed-mode reliability is essential. This shift is necessary to develop more precise lifetime prediction models that can support large-scale advanced circuit designs. However, with further advancements in device technology and the introduction of overly complex mechanism couplings, coupled with new materials and structures, analyzing the mechanisms behind mixed-mode reliability will face significant challenges. It prompts us to contemplate whether AI technology holds promise for future mixed-mode reliability analysis and modeling. It is undeniable that AI has its limitations in establishing accurate reliability prediction models. For instance, how neural networks can train correct compact model formulas when the underlying mechanisms are unclear poses a significant challenge. Different compact model formulas may exhibit excellent fitting accuracy for short-term degradation, but significant variations in extrapolated lifetimes are inevitable. Therefore, addressing the challenge of establishing accurate lifetime prediction models for increasingly complex mixed-mode reliability in the future remains an imminent task.

Author Contributions

Conceptualization, Z.S. and R.W.; research and writing—original draft preparation, Z.S.; writing—review and editing, S.C., L.Z., R.W. and R.H. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by NSFC under Grant 62125401, Grant 61927901, and, in part, by the 111 Project under Grant B18001.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Falcony, C.; Aguilar-Frutis, M.A.; García-Hipólito, M. Spray Pyrolysis Technique; High-K Dielectric Films and Luminescent Materials: A Review. Micromachines 2018, 9, 414. [Google Scholar] [CrossRef]
  2. Wu, C.; Lin, D.; Keshavarzi, A.; Huang, C.; Chan, C.; Tseng, C.; Chen, C.; Hsieh, C.; Wong, K.; Cheng, M.; et al. High Performance 22/20nm FinFET CMOS Devices with Advanced High-K/Metal Gate Scheme. In Proceedings of the 2010 International Electron Devices Meeting, San Francisco, CA, USA, 6–8 December 2010; IEEE: Piscataway, NJ, USA, 2010; pp. 27.1.1–27.1.4. [Google Scholar]
  3. Smith, C.; Adhikari, H.; Lee, S.; Coss, B.; Parthasarathy, S.; Young, C.; Sassman, B.; Cruz, M.; Hobbs, C.; Majhi, P.; et al. Dual Channel FinFETs as a Single High-k/Metal Gate Solution beyond 22nm Node. In Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, USA, 7–9 December 2009; IEEE: Piscataway, NJ, USA, 2009; pp. 1–4. [Google Scholar]
  4. Yeh, C.-C.; Chang, C.-S.; Lin, H.-N.; Tseng, W.-H.; Lai, L.-S.; Perng, T.-H.; Lee, T.-L.; Chang, C.-Y.; Yao, L.-G.; Chen, C.-C.; et al. A Low Operating Power FinFET Transistor Module Featuring Scaled Gate Stack and Strain Engineering for 32/28 nm SoC Technology. In Proceedings of the 2010 International Electron Devices Meeting, San Francisco, CA, USA, 6–8 December 2010; IEEE: Piscataway, NJ, USA, 2010; pp. 34.1.1–34.1.4. [Google Scholar]
  5. Lee, C.-C.; Huang, P.-C.; Hsiang, T.-P. Interactive Lattice and Process-Stress Responses in the Sub-7 Nm Germanium-Based Three-Dimensional Transistor Architecture of FinFET and Nanowire GAAFET. IEEE Trans. Electron Devices 2022, 69, 6552–6560. [Google Scholar] [CrossRef]
  6. Schmidt, M.; Süess, M.J.; Barros, A.D.; Geiger, R.; Sigg, H.; Spolenak, R.; Minamisawa, R.A. A Patterning-Based Strain Engineering for Sub-22 nm Node FinFETs. IEEE Electron Device Lett. 2014, 35, 300–302. [Google Scholar] [CrossRef]
  7. Collaert, N.; De Keersgieter, A.; Dixit, A.; Ferain, I.; Lai, L.-S.; Lenoble, D.; Mercha, A.; Nackaerts, A.; Pawlak, B.; Rooyackers, R.; et al. Multi-Gate Devices for the 32 nm Technology Node and Beyond. Solid-State Electron. 2008, 52, 1291–1296. [Google Scholar] [CrossRef]
  8. Bughio, A.M.; Guerrieri, S.D.; Bonani, F.; Ghione, G. Multi-Gate FinFET Mixer Variability Assessment through Physics-Based Simulation. IEEE Electron Device Lett. 2017, 38, 1004–1007. [Google Scholar] [CrossRef]
  9. Inaba, S.; Okano, K.; Izumida, T.; Kaneko, A.; Kawasaki, H.; Yagishita, A.; Kanemura, T.; Ishida, T.; Aoki, N.; Ishimaru, K.; et al. FinFET: The Prospective Multi-Gate Device for Future SoC Applications. In Proceedings of the 2006 European Solid-State Device Research Conference, Montreux, Switzerland, 19–21 September 2006; IEEE: Piscataway, NJ, USA, 2006; pp. 49–52. [Google Scholar]
  10. Leonelli, D.; Vandooren, A.; Rooyackers, R.; Verhulst, A.S.; De Gendt, S.; Heyns, M.M.; Groeseneken, G. Performance Enhancement in Multi Gate Tunneling Field Effect Transistors by Scaling the Fin-Width. Jpn. J. Appl. Phys. 2010, 49, 04DC10. [Google Scholar] [CrossRef]
  11. Chen, S.; Jiao, Y.; Peng, B.; Li, M.; Zhang, L.; Wang, R.; Huang, R. Thermal Evaluation for Monolithic 3D Integrated Circuits Based on Gate-All-Around Transistors. In Proceedings of the 2023 International Symposium of Electronics Design Automation (ISEDA), Nanjing, China, 8–11 May 2023; IEEE: Piscataway, NJ, USA, 2023; pp. 418–422. [Google Scholar]
  12. Goel, A.; Rawat, A.; Rawat, B. Benchmarking of Analog/RF Performance of Fin-FET, NW-FET, and NS-FET in the Ultimate Scaling Limit. IEEE Trans. Electron Devices 2022, 69, 1298–1305. [Google Scholar] [CrossRef]
  13. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.-W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked Nanosheet Gate-All-around Transistor to Enable Scaling beyond FinFET. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; IEEE: Piscataway, NJ, USA, 2017; pp. T230–T231. [Google Scholar]
  14. Cao, W.; Bu, H.; Vinet, M.; Cao, M.; Takagi, S.; Hwang, S.; Ghani, T.; Banerjee, K. The Future Transistors. Nature 2023, 620, 501–515. [Google Scholar] [CrossRef]
  15. Radamson, H.H.; He, X.; Zhang, Q.; Liu, J.; Cui, H.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; Gao, J.; et al. Miniaturization of CMOS. Micromachines 2019, 10, 293. [Google Scholar] [CrossRef] [PubMed]
  16. Radamson, H.H.; Zhu, H.; Wu, Z.; He, X.; Lin, H.; Liu, J.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555. [Google Scholar] [CrossRef]
  17. Liu, C.; Sagong, H.-C.; Kim, H.; Choo, S.; Lee, H.; Kim, Y.; Kim, H.; Jo, B.; Jin, M.; Kim, J.; et al. Systematical Study of 14nm FinFET Reliability: From Device Level Stress to Product HTOL. In Proceedings of the 2015 IEEE International Reliability Physics Symposium, Monterey, CA, USA, 19–23 April 2015; IEEE: Piscataway, NJ, USA, 2015; pp. 2F.3.1–2F.3.5. [Google Scholar]
  18. Ji, Z.; Chen, H.; Li, X. Design for Reliability with the Advanced Integrated Circuit (IC) Technology: Challenges and Opportunities. Sci. China Inf. Sci. 2019, 62, 1–4. [Google Scholar] [CrossRef]
  19. Choi, Y.-K.; Ha, D.; Snow, E.; Bokor, J.; King, T.-J. Reliability Study of CMOS FinFETs. In Proceedings of the IEEE International Electron Devices Meeting 2003, Washington, DC, USA, 8–10 December 2003; IEEE: Piscataway, NJ, USA, 2003; pp. 7.6.1–7.6.4. [Google Scholar]
  20. Teng, Q.; Hu, Y.; Cheng, R.; Wu, Y.; Zhou, G.; Gao, D. Reliability Challenges in CMOS Technology: A Manufacturing Process Perspective. Microelectron. Eng. 2023, 281, 112086. [Google Scholar] [CrossRef]
  21. Huang, R.; Jiang, X.; Guo, S.; Ren, P.; Hao, P.; Yu, Z.; Zhang, Z.; Wang, Y.; Wang, R. Variability-and Reliability-Aware Design for 16/14nm and beyond Technology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 12–14. [Google Scholar]
  22. Jin, M.; Liu, C.; Kim, J.; Kim, J.; Shim, H.; Kim, K.; Kim, G.; Lee, S.; Uemura, T.; Chang, M.; et al. Reliability Characterization of 10nm FinFET Technology with Multi-V T Gate Stack for Low Power and High Performance. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 15.1.1–15.1.4. [Google Scholar]
  23. Choi, K.; Sagong, H.C.; Jin, M.; Hai, J.; Lee, M.; Jeong, T.; Yeo, M.S.; Shim, H.; Ahn, D.; Kim, W.; et al. Reliability on Evolutionary FinFET CMOS Technology and Beyond. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; IEEE: Piscataway, NJ, USA, 2020; pp. 9.3.1–9.3.4. [Google Scholar]
  24. Hsieh, E.; Wang, Z.; Ye, Y.; Wu, Y.; Huang, C.; Huang, P.; Huang, Y.; Miu, M.; Su, H.; Huang, S.; et al. Positive-Bias-Temperature-Instability Induced Random-Trap-Fluctuation Enhanced Physical Unclonable Functions on 14-Nm nFinFETs. IEEE Electron Device Lett. 2022, 43, 1396–1399. [Google Scholar] [CrossRef]
  25. Claes, D.; Franco, J.; Collaert, N.; Linten, D.; Heyns, M. Positive Bias Temperature Instability of HfO2-Based Gate Stacks at Reduced Thermal Budget for Future CMOS Technologies. J. Appl. Phys. 2020, 128, 104101. [Google Scholar] [CrossRef]
  26. Rzepa, G.; Franco, J.; Subirats, A.; Jech, M.; Chasin, A.; Grill, A.; Waltl, M.; Knobloch, T.; Stampfer, B.; Chiarella, T.; et al. Efficient Physical Defect Model Applied to PBTI in High-κ Stacks. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; IEEE: Piscataway, NJ, USA, 2017; p. XT-11. [Google Scholar]
  27. Guo, S.; Wang, R.; Yu, Z.; Hao, P.; Ren, P.; Wang, Y.; Liao, S.; Huang, C.; Guo, T.; Chen, A.; et al. Towards Reliability-Aware Circuit Design in Nanoscale FinFET Technology:—New-Generation Aging Model and Circuit Reliability Simulator. In Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Irvine, CA, USA, 13–16 November 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 780–785. [Google Scholar]
  28. Tyaginov, S.; O’Sullivan, B.; Chasin, A.; Rawal, Y.; Chiarella, T.; de Carvalho Cavalcante, C.T.; Kimura, Y.; Vandemaele, M.; Ritzenthaler, R.; Mitard, J.; et al. Impact of Nitridation on Bias Temperature Instability and Hard Breakdown Characteristics of SiON MOSFETs. Micromachines 2023, 14, 1514. [Google Scholar] [CrossRef]
  29. Li, Y.; Xue, Y.; Sun, Z.; Shen, C.; Ren, P.; Ji, Z.; Zhang, L.; Wang, R.; Huang, R. A Device-Circuit Aging Simulation Framework Integrating Trap-Based Models and Sensitivity Analysis for FinFET Technology. IEEE Trans. Electron Devices 2023, 71, 206–212. [Google Scholar] [CrossRef]
  30. Krishnan, A.T.; Reddy, V.; Chakravarthi, S.; Rodriguez, J.; John, S.; Krishnan, S. NBTI Impact on Transistor and Circuit: Models, Mechanisms and Scaling Effects [MOSFETs]. In Proceedings of the IEEE International Electron Devices Meeting 2003, Washington, DC, USA, 8–10 December 2003; IEEE: Piscataway, NJ, USA, 2003; pp. 14–15. [Google Scholar]
  31. Zhang, Z.; Wang, R.; Shen, X.; Wu, D.; Zhang, J.; Zhang, Z.; Wang, J.; Huang, R. Aging-Aware Gate-Level Modeling for Circuit Reliability Analysis. IEEE Trans. Electron Devices 2021, 68, 4201–4207. [Google Scholar] [CrossRef]
  32. Zhang, J.; Wang, Z.; Wang, R.; Sun, Z.; Huang, R. Body Bias Dependence of Bias Temperature Instability (BTI) in Bulk FinFET Technology. Energy Environ. Mater. 2022, 5, 1200–1203. [Google Scholar] [CrossRef]
  33. Ren, P.; Wang, R.; Ji, Z.; Hao, P.; Jiang, X.; Guo, S.; Luo, M.; Duan, M.; Zhang, J.F.; Wang, J.; et al. New Insights into the Design for End-of-Life Variability of NBTI in Scaled High-κ/Metal-Gate Technology for the Nano-Reliability Era. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; IEEE: Piscataway, NJ, USA, 2014; pp. 34.1.1–34.1.4. [Google Scholar]
  34. Sun, Z.; Yu, Z.; Zhang, Z.; Zhang, J.; Wang, R.; Lu, P.; Huang, R. Investigation on the Lateral Trap Distributions in Nanoscale MOSFETs during Hot Carrier Stress. IEEE Electron Device Lett. 2019, 40, 490–493. [Google Scholar] [CrossRef]
  35. Wang, Z.; Lu, H.; Sun, Z.; Shen, C.; Peng, B.; Li, W.; Xue, Y.; Wang, D.; Ji, Z.; Zhang, L.; et al. New Insights into the Interface Trap Generation during Hot Carrier Degradation: Impacts of Full-band Electronic Resonance, (100) vs. (110), and nMOS vs. pMOS. In Proceedings of the 2023 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 9–13 December 2023. [Google Scholar]
  36. Tyaginov, S.; Bury, E.; Grill, A.; Yu, Z.; Makarov, A.; De Keersgieter, A.; Vexler, M.; Vandemaele, M.; Wang, R.; Spessot, A.; et al. Compact Physics Hot-Carrier Degradation Model Valid over a Wide Bias Range. Micromachines 2023, 14, 2018. [Google Scholar] [CrossRef]
  37. Wang, R.; Sun, Z.; Liu, Y.-Y.; Yu, Z.; Wang, Z.; Jiang, X.; Huang, R. Understanding Hot Carrier Reliability in FinFET Technology from Trap-Based Approach. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; IEEE: Piscataway, NJ, USA, 2021; pp. 31–32. [Google Scholar]
  38. Yu, Z.; Zhang, J.; Wang, R.; Guo, S.; Liu, C.; Huang, R. New Insights into the Hot Carrier Degradation (HCD) in FinFET: New Observations, Unified Compact Model, and Impacts on Circuit Reliability. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 7.2.1–7.2.4. [Google Scholar]
  39. Makarov, A.; Tyaginov, S.; Kaczer, B.; Jech, M.; Chasin, A.; Grill, A.; Hellings, G.; Vexler, M.; Linten, D.; Grasser, T. Hot-Carrier Degradation in FinFETs: Modeling, Peculiarities, and Impact of Device Topology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 13.1.1–13.1.4. [Google Scholar]
  40. Grasser, T. Hot Carrier Degradation in Semiconductor Devices; Springer: Cham, Switzerland, 2014. [Google Scholar]
  41. Yu, Z.; Zhang, Z.; Sun, Z.; Wang, R.; Huang, R. On the Trap Locations in Bulk finFETs after Hot Carrier Degradation (HCD). IEEE Trans. Electron Devices 2020, 67, 3005–3009. [Google Scholar] [CrossRef]
  42. Cho, M.; Spessot, A.; Kaczer, B.; Aoulaiche, M.; Ritzenthaler, R.; Schram, T.; Fazan, P.; Horiguchi, N.; Linten, D. Off-State Stress Degradation Mechanism on Advanced p-MOSFETs. In Proceedings of the 2015 International Conference on IC Design & Technology (ICICDT), Leuven, Belgium, 1–3 June 2015; IEEE: Piscataway, NJ, USA, 2015; pp. 1–4. [Google Scholar]
  43. Crespo-Yepes, A.; Nasarre, C.; Garsot, N.; Martin-Martinez, J.; Rodriguez, R.; Barajas, E.; Aragones, X.; Mateo, D.; Nafria, M. CMOS Inverter Performance Degradation and Its Correlation with BTI, HCI and OFF State MOSFETs Aging. Solid-State Electron. 2022, 191, 108264. [Google Scholar] [CrossRef]
  44. Sun, Z.; Cai, P.; Song, J.; Wang, D.; Liu, Z.; Zhou, L.; Zhu, T.; Xue, Y.; Liu, Y.; Wang, Z.; et al. Comprehensive Study of NBTI and Off-State Reliabilty in Sub-20 nm DRAM Technology: Trap Identification, Compact Aging Model, and Impact on Retention Degradation. In Proceedings of the 2023 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 9–13 December 2023. [Google Scholar]
  45. Kim, H.; Jin, M.; Sagong, H.; Kim, J.; Jung, U.; Choi, M.; Park, J.; Shin, S.; Pae, S. A Systematic Study of Gate Dielectric TDDB in FinFET Technology. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 4A.4-1–4A.4-4. [Google Scholar]
  46. Yang, K.; Liu, T.; Zhang, R.; Milor, L. A Comprehensive Time-Dependent Dielectric Breakdown Lifetime Simulator for Both Traditional CMOS and FinFET Technology. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2018, 26, 2470–2482. [Google Scholar] [CrossRef]
  47. Wu, E.Y. Facts and Myths of Dielectric Breakdown Processes—Part I: Statistics, Experimental, and Physical Acceleration Models. IEEE Trans. Electron Devices 2019, 66, 4523–4534. [Google Scholar] [CrossRef]
  48. Pierce, D.; Brusius, P. Electromigration: A Review. Microelectron. Reliab. 1997, 37, 1053–1072. [Google Scholar] [CrossRef]
  49. Zahedmanesh, H.; Ciofi, I.; Zografos, O.; Croes, K.; Badaroglu, M. System-Level Simulation of Electromigration in a 3 nm Cmos Power Delivery Network: The Effect of Grid Redundancy, Metallization Stack and Standard-Cell Currents. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; IEEE: Piscataway, NJ, USA, 2022; pp. 1–7. [Google Scholar]
  50. Arnaud, L.; Lamontagne, P.; Galand, R.; Petitprez, E.; Ney, D.; Waltz, P. Electromigration Induced Void Kinetics in Cu Interconnects for Advanced CMOS Nodes. In Proceedings of the 2011 International Reliability Physics Symposium, Monterey, CA, USA, 10–14 April 2011; IEEE: Piscataway, NJ, USA, 2011; pp. 3E.1.1–3E.1.10. [Google Scholar]
  51. Grasser, T. Stochastic Charge Trapping in Oxides: From Random Telegraph Noise to Bias Temperature Instabilities. Microelectron. Reliab. 2012, 52, 39–70. [Google Scholar] [CrossRef]
  52. Zhang, L.; Wang, R.; Zhuge, J.; Huang, R.; Kim, D.-W.; Park, D.; Wang, Y. Impacts of Non-Negligible Electron Trapping/Detrapping on the NBTI Characteristics in Silicon Nanowire Transistors with Tin Metal Gates. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; IEEE: Piscataway, NJ, USA, 2008; pp. 1–4. [Google Scholar]
  53. Xue, Y.; Ren, P.; Wu, J.; Liu, Z.; Wang, S.; Li, Y.; Wang, Z.; Sun, Z.; Wang, D.; Wen, Y.; et al. On the Understanding of pMOS NBTI Degradation in Advance Nodes: Characterization, Modeling, and Exploration on the Physical Origin of Defects. IEEE Trans. Electron Devices 2023, 70, 4518–4524. [Google Scholar] [CrossRef]
  54. Ji, Z.; Xue, Y.; Ren, P.; Ye, J.; Li, Y.; Wu, Y.; Wang, D.; Wang, S.; Wu, J.; Wang, Z.; et al. Toward Reliability-and Variability-Aware Design-Technology Co-Optimization in Advanced Nodes: Defect Characterization, Industry-Friendly Modeling, and ML-Assisted Prediction. IEEE Trans. Electron Devices 2023, 71, 138–150. [Google Scholar] [CrossRef]
  55. Waldhoer, D.; Schleich, C.; Michl, J.; Grill, A.; Claes, D.; Karl, A.; Knobloch, T.; Rzepa, G.; Franco, J.; Kaczer, B.; et al. Comphy v3. 0—A Compact-Physics Framework for Modeling Charge Trapping Related Reliability Phenomena in MOS Devices. Microelectron. Reliab. 2023, 146, 115004. [Google Scholar] [CrossRef]
  56. Yu, Z.; Sun, Z.; Wang, R.; Zhang, J.; Huang, R. Hot Carrier Degradation-Induced Dynamic Variability in FinFETs: Experiments and Modeling. IEEE Trans. Electron Devices 2020, 67, 1517–1522. [Google Scholar] [CrossRef]
  57. Tyaginov, S.; Afzalian, A.; Makarov, A.; Grill, A.; Vandemaele, M.; Cherenev, M.; Vexler, M.; Hellings, G.; Kaczer, B. On Superior Hot Carrier Robustness of Dynamically-Doped Field-Effect-Transistors. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; IEEE: Piscataway, NJ, USA, 2022; pp. 11A.2-1–11A.2-9. [Google Scholar]
  58. Kuo, T.-T.; Chen, Y.-C.; Chang, T.-C.; Chang, K.-C.; Yeh, C.-H.; Ciou, F.-M.; Lin, Y.-S.; Lin, Y.-H.; Jin, F.-Y.; Hung, W.-C.; et al. Abnormal Trend in Hot Carrier Degradation with Fin Profile in Short Channel FinFET Devices at 14 nm Node. Semicond. Sci. Technol. 2022, 37, 045010. [Google Scholar] [CrossRef]
  59. Bravaix, A.; Hamparsoumian, G.; Sonzogni, J.; Pitard, H.; Garba-Seybou, T.; Kussener, E.; Federspiel, X.; Cacho, F. CMOS Scaling Challenges for High Performance and Low Power Applications Facing Reliability Criteria towards the Decananometer Range. J. Phys. Conf. Ser. 2023, 2548, 012003. [Google Scholar] [CrossRef]
  60. Bernstein, J.; Bender, E.; Bensoussan, A. The Correct Hot Carrier Degradation Model. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; IEEE: Piscataway, NJ, USA, 2023; pp. 1–5. [Google Scholar]
  61. Sun, Z.; Lu, H.; Xue, Y.; Luo, W.; Wang, Z.; Zhang, J.; Ji, Z.; Wang, R.; Huang, R. Investigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; IEEE: Piscataway, NJ, USA, 2023; pp. 1–6. [Google Scholar]
  62. Wang, R.; Sun, Z.; Li, Y.; Xue, Y.; Wang, Z.; Ren, P.; Ji, Z.; Zhang, L.; Huang, R. Advanced Compact Modeling for Transistor Aging: Trap-Based Approaches and Mixed-Mode Coupling. In Proceedings of the 2023 7th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Seoul, Republic of Korea, 7–10 March 2023; IEEE: Piscataway, NJ, USA, 2023; pp. 1–3. [Google Scholar]
  63. Vandemaele, M.; Kaczer, B.; Tyaginov, S.; Bury, E.; Chasin, A.; Franco, J.; Makarov, A.; Mertens, H.; Hellings, G.; Groeseneken, G. Simulation Comparison of Hot-Carrier Degradation in Nanowire, Nanosheet and Forksheet FETs. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; IEEE: Piscataway, NJ, USA, 2022; pp. 6A.2-1–6A.2-9. [Google Scholar]
  64. Jakubowski, A.; Lukasiak, L. The Influence of Bandgap Narrowing on the I–V Characteristics of a MOSFET. Solid-State Electron. 1993, 36, 1129–1134. [Google Scholar] [CrossRef]
  65. McPherson, J. Reliability Trends with Advanced CMOS Scaling and the Implications for Design. In Proceedings of the 2007 IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, 16–19 September 2007; IEEE: Piscataway, NJ, USA, 2007; pp. 405–412. [Google Scholar]
  66. Pey, K.; Raghavan, N.; Li, X.; Liu, W.; Shubhakar, K.; Wu, X.; Bosman, M. New Insight into the TDDB and Breakdown Reliability of Novel High-к Gate Dielectric Stacks. In Proceedings of the 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, USA, 2–6 May 2010; IEEE: Piscataway, NJ, USA, 2010; pp. 354–363. [Google Scholar]
  67. Okada, K.; Kurimoto, K.; Suzuki, M. Anomalous TDDB Statistics of Gate Dielectrics Caused by Charging-Induced Dynamic Stress Relaxation under Constant–Voltage Stress. IEEE Trans. Electron Devices 2016, 63, 2268–2274. [Google Scholar] [CrossRef]
  68. Sun, Z.; Demircan, E.; Shroff, M.D.; Cook, C.; Tan, S.X.-D. Fast Electromigration Immortality Analysis for Multisegment Copper Interconnect Wires. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2018, 37, 3137–3150. [Google Scholar] [CrossRef]
  69. Chang, Y.; Liao, P.; Liu, Y.; Chen, P.; Sou, K.; Wang, C.; Huang, T.; Chuang, W.; Lee, J. Unveiling the Impact of High Frequency On-State and Off-State Operation on Gate Dielectric Reliability: A Comprehensive Analysis. In Proceedings of the 2023 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 9–13 December 2023. [Google Scholar]
  70. Bury, E.; Kaczer, B.; Chuang, K.; Franco, J.; Weckx, P.; Chasin, A.; Simicic, M.; Linten, D.; Groeseneken, G. Statistical Assessment of the Full VG/VD Degradation Space Using Dedicated Device Arrays. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. 2D-5.1–2D-5.6. [Google Scholar]
  71. Sun, Z.; Luo, W.; Jiao, Y.; Zhang, Z.; Song, J.; Zhang, L.; Wang, Z.; Zhang, J.; Wang, R.; Huang, R. Transient Self-Heating Effects on Mixed-Mode Hot Carrier and Bias Temperature Instability in FinFETs: Experiments and Modeling. IEEE Trans. Electron Devices 2023, 70, 5528–5534. [Google Scholar] [CrossRef]
  72. Reis, R.; Cao, Y.; Wirth, G. Circuit Design for Reliability; Springer: Cham, Switzerland, 2015. [Google Scholar]
  73. Ghosh, S.; Roy, K. Parameter Variation Tolerance and Error Resiliency: New Design Paradigm for the Nanoscale Era. Proc. IEEE 2010, 98, 1718–1751. [Google Scholar] [CrossRef]
  74. Ahn, W.; Shin, S.H.; Jiang, C.; Jiang, H.; Wahab, M.; Alam, M.A. Integrated Modeling of Self-Heating of Confined Geometry (FinFET, NWFET, and NSHFET) Transistors and Its Implications for the Reliability of Sub-20 nm Modern Integrated Circuits. Microelectron. Reliab. 2018, 81, 262–273. [Google Scholar] [CrossRef]
  75. Prasad, C. A Review of Self-Heating Effects in Advanced CMOS Technologies. IEEE Trans. Electron Devices 2019, 66, 4546–4555. [Google Scholar] [CrossRef]
  76. Venkateswarlu, S.; Sudarsanan, A.; Singh, S.G.; Nayak, K. Ambient Temperature-Induced Device Self-Heating Effects on Multi-Fin Si n-FinFET Performance. IEEE Trans. Electron Devices 2018, 65, 2721–2728. [Google Scholar] [CrossRef]
  77. Paliwoda, P.; Manik, P.P.; Singh, D.; Chbili, Z.; Kerber, A.; Johnson, J.; Misra, D. Self-Heating Assessment on Bulk FinFET Devices through Characterization and Predictive Simulation. IEEE Trans. Device Mater. Reliab. 2018, 18, 133–138. [Google Scholar] [CrossRef]
  78. Jin, M.; Liu, C.; Kim, J.; Kim, J.; Choo, S.; Kim, Y.; Shim, H.; Zhang, L.; Nam, K.; Park, J.; et al. Hot Carrier Reliability Characterization in Consideration of Self-Heating in FinFET Technology. In Proceedings of the 2016 IEEE International Reliability Physics Symposium (IRPS), Pasadena, CA, USA, 17–21 April 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 2A-2-1–2A-2-5. [Google Scholar]
  79. Tyaginov, S.; Makarov, A.; El-Sayed, A.-M.B.; Chasin, A.; Bury, E.; Jech, M.; Vandemaele, M.; Grill, A.; De Keersgieter, A.; Vexler, M.; et al. Understanding and Modeling Opposite Impacts of Self-Heating on Hot-Carrier Degradation in n-and p-Channel Transistors. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; IEEE: Piscataway, NJ, USA, 2022; pp. 6A.3-1–6A.3-8. [Google Scholar]
  80. Gupta, A.; Gupta, C.; Vega, R.A.; Hook, T.B.; Dixit, A. Reliability Modeling and Analysis of Hot-Carrier Degradation in Multiple-Fin SOI n-Channel FinFETs with Self-Heating. IEEE Trans. Electron Devices 2019, 66, 2075–2080. [Google Scholar] [CrossRef]
  81. Alam, M.A.; Mahajan, B.K.; Chen, Y.-P.; Ahn, W.; Jiang, H.; Shin, S.H. A Device-to-System Perspective Regarding Self-Heating Enhanced Hot Carrier Degradation in Modern Field-Effect Transistors: A Topical Review. IEEE Trans. Electron Devices 2019, 66, 4556–4565. [Google Scholar] [CrossRef]
  82. Arabi, M.; Cros, A.; Federspiel, X.; Ndiaye, C.; Huard, V.; Rafik, M. Modeling Self-Heating Effects in Advanced CMOS Nodes. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; IEEE: Piscataway, NJ, USA, 2018; pp. P-MR.3-1–P-MR.3-4. [Google Scholar]
  83. Baylis, C.P.; Dunleavy, L.P.; Daniel, J.E. Direct Measurement of Thermal Circuit Parameters Using Pulsed IV and the Normalized Difference Unit. In Proceedings of the 2004 IEEE MTT-S International Microwave Symposium Digest (IEEE Cat. No. 04CH37535), Fort Worth, TX, USA, 6–11 June 2004; IEEE: Piscataway, NJ, USA, 2004; Volume 2, pp. 1233–1236. [Google Scholar]
  84. Mautry, P.; Trager, J. Self-Heating and Temperature Measurement in Sub-Μm-MOSFETs. In Proceedings of the ESSDERC’89: 19th European Solid State Device Research Conference, Berlin, Germany, 11–14 September 1989; IEEE: Piscataway, NJ, USA, 1989; pp. 675–678. [Google Scholar]
  85. Bury, E.; Kaczer, B.; Roussel, P.; Ritzenthaler, R.; Raleva, K.; Vasileska, D.; Groeseneken, G. Experimental Validation of Self-Heating Simulations and Projections for Transistors in Deeply Scaled Nodes. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; IEEE: Piscataway, NJ, USA, 2014; p. XT-8. [Google Scholar]
  86. Heremans, P.; Van Den Bosch, G.; Bellens, R.; Groeseneken, G.; Maes, H.E. Temperature Dependence of the Channel Hot-Carrier Degradation of n-Channel MOSFET’s. IEEE Trans. Electron Devices 1990, 37, 980–993. [Google Scholar] [CrossRef]
  87. Hsu, F.-C.; Chiu, K.-Y. Temperature Dependence of Hot-Electron-Induced Degradation in MOSFET’s. IEEE Electron Device Lett. 1984, 5, 148–150. [Google Scholar] [CrossRef]
  88. Bravaix, A.; Huard, V.; Goguenheim, D.; Vincent, E. Hot-Carrier to Cold-Carrier Device Lifetime Modeling with Temperature for Low Power 40nm Si-Bulk NMOS and PMOS FETs. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; IEEE: Piscataway, NJ, USA, 2011; pp. 27.5.1–27.5.4. [Google Scholar]
  89. Tyaginov, S.; Jech, M.; Franco, J.; Sharma, P.; Kaczer, B.; Grasser, T. Understanding and Modeling the Temperature Behavior of Hot-Carrier Degradation in SiON nMOSFETs. IEEE Electron Device Lett. 2015, 37, 84–87. [Google Scholar] [CrossRef]
  90. Yu, Z.; Wang, R.; Hao, P.; Guo, S.; Ren, P.; Huang, R. Non-Universal Temperature Dependence of Hot Carrier Degradation (HCD) in FinFET: New Observations and Physical Understandings. In Proceedings of the 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), Kobe, Japan, 13–16 March 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 34–36. [Google Scholar]
  91. Kim, J.; Hong, K.; Shin, H. Analysis on Temperature Dependence of Hot Carrier Degradation by Mechanism Separation. IEEE J. Electron Devices Soc. 2020, 8, 321–325. [Google Scholar] [CrossRef]
  92. Huang, H.; Huang, Y.-H.; Liu, C.; Shih, J.; Lee, Y.-H.; Ranjan, R.; Leu, L.; Wu, D.; Wu, K. Investigation of the Self-Heating Effect on Hot-Carrier Characteristics for Packaged High Voltage Devices. In Proceedings of the 2011 Symposium on VLSI Technology-Digest of Technical Papers, Kyoto, Japan, 14–16 June 2011; IEEE: Piscataway, NJ, USA, 2011; pp. 154–155. [Google Scholar]
  93. Jiang, H.; Liu, X.; Xu, N.; He, Y.; Du, G.; Zhang, X. Investigation of Self-Heating Effect on Hot Carrier Degradation in Multiple-Fin SOI FinFETs. IEEE Electron Device Lett. 2015, 36, 1258–1260. [Google Scholar] [CrossRef]
  94. Ullmann, B.; Jech, M.; Puschkarsky, K.; Rott, G.A.; Waltl, M.; Illarionov, Y.; Reisinger, H.; Grasser, T. Impact of Mixed Negative Bias Temperature Instability and Hot Carrier Stress on MOSFET Characteristics—Part I: Experimental. IEEE Trans. Electron Devices 2018, 66, 232–240. [Google Scholar] [CrossRef]
  95. Duan, M.; Zhang, J.; Zhang, J.; Zhang, W.; Ji, Z.; Benbakhti, B.; Zheng, X.; Hao, Y.; Vigar, D.; Adamu-Lema, F.; et al. Interaction between Hot Carrier Aging and PBTI Degradation in nMOSFETs: Characterization, Modelling and Lifetime Prediction. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; IEEE: Piscataway, NJ, USA, 2017; pp. XT-5.1–XT-5.7. [Google Scholar]
  96. Jech, M.; Ullmann, B.; Rzepa, G.; Tyaginov, S.; Grill, A.; Waltl, M.; Jabs, D.; Jungemann, C.; Grasser, T. Impact of Mixed Negative Bias Temperature Instability and Hot Carrier Stress on MOSFET Characteristics—Part II: Theory. IEEE Trans. Electron Devices 2018, 66, 241–248. [Google Scholar] [CrossRef]
  97. Jung, S.-G.; Lee, S.-H.; Kim, C.-K.; Yoo, M.-S.; Yu, H.-Y. Analysis of Drain Linear Current Turn-around Effect in off-State Stress Mode in pMOSFET. IEEE Electron Device Lett. 2020, 41, 804–807. [Google Scholar] [CrossRef]
  98. Seo, J.; Seok, J.; Kim, W.; Cha, N.; Kang, J.; So, B. PMOSFET Anti-Fuse Using GIDL-Induced-HEIP Mechanism. Microelectron. Reliab. 2010, 50, 1309–1311. [Google Scholar] [CrossRef]
  99. Lee, N.-H.; Kim, H.; Kang, B. Impact of Off-State Stress and Negative Bias Temperature Instability on Degradation of Nanoscale pMOSFET. IEEE Electron Device Lett. 2011, 33, 137–139. [Google Scholar] [CrossRef]
  100. Sun, Z.; Wang, Z.; Wang, R.; Zhang, L.; Zhang, J.; Zhang, Z.; Song, J.; Wang, D.; Ji, Z.; Huang, R. Investigation of the Off-State Degradation in Advanced FinFET Technology—Part I: Experiments and Analysis. IEEE Trans. Electron Devices 2023, 70, 914–920. [Google Scholar] [CrossRef]
  101. Sun, Z.; Wang, Z.; Wang, R.; Zhang, L.; Zhang, J.; Zhang, Z.; Song, J.; Wang, D.; Ji, Z.; Huang, R. Investigation of the Off-State Degradation in Advanced FinFET Technology—Part II: Compact Aging Model and Impact on Circuits. IEEE Trans. Electron Devices 2023, 70, 921–927. [Google Scholar] [CrossRef]
  102. Dong, Z.; Sun, Z.; Yang, X.; Li, X.; Xue, Y.; Luo, C.; Cai, P.; Wang, Z.; Wang, S.; Zhang, Y.; et al. Catching the Missing EM Consequence in Soft Breakdown Reliability in Advanced FinFETs: Impacts of Self-Heating, On-State TDDB, and Layout Dependence. In Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11–16 June 2023; IEEE: Piscataway, NJ, USA, 2023; pp. 1–2. [Google Scholar]
  103. Chbili, Z.; Kerber, A. Self-Heating Impact on TDDB in Bulk FinFET Devices: Uniform vs Non-Uniform Stress. In Proceedings of the 2016 IEEE International Integrated Reliability Workshop (IIRW), South Lake Tahoe, CA, USA, 9–13 October 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 45–48. [Google Scholar]
  104. Mei, S.; Raghavan, N.; Bosman, M.; Linten, D.; Groeseneken, G.; Horiguchi, N.; Pey, K. New Understanding of Dielectric Breakdown in Advanced FinFET Devices—Physical, Electrical, Statistical and Multiphysics Study. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 15.5.1–15.5.4. [Google Scholar]
  105. Federspiel, X.; Rafik, M.; Angot, D.; Cacho, F.; Roy, D. Interaction between BTI and HCI Degradation in High-K Devices. In Proceedings of the 2013 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 14–18 April 2013; IEEE: Piscataway, NJ, USA, 2013; pp. XT.9.1–XT.9.4. [Google Scholar]
  106. Cacho, F.; Mora, P.; Arfaoui, W.; Federspiel, X.; Huard, V. HCI/BTI Coupled Model: The Path for Accurate and Predictive Reliability Simulations. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; IEEE: Piscataway, NJ, USA, 2014; pp. 5D.4.1–5D.4.5. [Google Scholar]
  107. Hsieh, M.-H.; Huang, Y.-C.; Yew, T.-Y.; Wang, W.; Lee, Y.-H. The Impact and Implication of BTI/HCI Decoupling on Ring Oscillator. In Proceedings of the 2015 IEEE International Reliability Physics Symposium, Monterey, CA, USA, 19–23 April 2015; IEEE: Piscataway, NJ, USA, 2015; pp. 6A.4.1–6A.4.5. [Google Scholar]
  108. Jech, M.; Rott, G.; Reisinger, H.; Tyaginov, S.; Rzepa, G.; Grill, A.; Jabs, D.; Jungemann, C.; Waltl, M.; Grasser, T. Mixed Hot-Carrier/Bias Temperature Instability Degradation Regimes in Full {VG, VD} Bias Space: Implications and Peculiarities. IEEE Trans. Electron Devices 2020, 67, 3315–3322. [Google Scholar] [CrossRef]
  109. Rosenbaum, E.; Xiong, J.; Yang, A.; Chen, Z.; Raginsky, M. Machine learning for circuit aging simulation. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; IEEE: Piscataway, NJ, USA, 2020; pp. 39.1.1–39.1.4. [Google Scholar]
  110. Vijayan, A.; Koneru, A.; Kiamehr, S.; Chakrabarty, K.; Tahoori, M.B. Fine-grained aging-induced delay prediction based on the monitoring of run-time stress. IEEE Trans. Comput.-Aided Des. Integr. CircuitsSyst. 2016, 37, 1064–1075. [Google Scholar] [CrossRef]
  111. Ebrahimipour, S.M.; Ghavami, B.; Mousavi, H.; Mousavi, H.; Raji, M.; Fang, Z.; Shannon, L. Aadam: A fast, accurate, and versatile aging-aware cell library delay model using feed-forward neura network. In Proceedings of the 39th International Conference on Computer-Aided Design (ICCAD), San Diego, CA, USA, 2–5 November 2020; pp. 1–9. [Google Scholar]
  112. Chatterjee, N.; Ortega, J.; Meric, I.; Xiao, P.; Tsameret, I. Machine learning on transistor aging data: Test time reduction and modeling for novel devices. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; IEEE: Piscataway, NJ, USA, 2021; pp. 1–9. [Google Scholar]
  113. Klemme, F.; Amrouch, H. Machine learning for on-the-fly reliability-aware cell library characterization. IEEE Trans. Circuits Syst. I Regul. Pap. 2021, 68, 2569–2579. [Google Scholar] [CrossRef]
  114. Chen, T.; Sun, Q.; Zhan, C.; Liu, C.; Yu, H.; Yu, B. Analog IC aging-induced degradation estimation via heterogeneous graph convolutional networks. In Proceedings of the 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, 18–21 January 2021; pp. 898–903. [Google Scholar]
  115. Klemme, F.; Amrouch, H. Efficient Learning Strategies for Machine Learning-Based Characterization of Aging-Aware Cell Libraries. IEEE Trans. Circuits Syst. I Regul. Pap. 2022, 69, 5233–5246. [Google Scholar] [CrossRef]
  116. Ye, J.; Ren, P.; Xue, Y.; Fang, H.; Ji, Z. Fast Aging-aware Timing Analysis Framework WITH Temporal-Spatial Graph Neural Network. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2023. [Google Scholar] [CrossRef]
Figure 1. Schematic of the different degradation modes in an inverter.
Figure 1. Schematic of the different degradation modes in an inverter.
Micromachines 15 00127 g001
Figure 2. Schematic of the self-heating dominate region in the full {Vgs, Vds} bias map. The self-heating dominant region overlaps with the HCD region.
Figure 2. Schematic of the self-heating dominate region in the full {Vgs, Vds} bias map. The self-heating dominant region overlaps with the HCD region.
Micromachines 15 00127 g002
Figure 3. Experimental results of nFinFET show the non-universal HCD temperature dependence. (a) Vgs < Vds bias condition; (b) Vgs > Vds bias condition. Data from Ref. [90].
Figure 3. Experimental results of nFinFET show the non-universal HCD temperature dependence. (a) Vgs < Vds bias condition; (b) Vgs > Vds bias condition. Data from Ref. [90].
Micromachines 15 00127 g003
Figure 4. Frequency dependence of HCD in HVNMOS; HCD decreases as the frequency (duty cycle = 0.5) increases. Data from Ref. [92].
Figure 4. Frequency dependence of HCD in HVNMOS; HCD decreases as the frequency (duty cycle = 0.5) increases. Data from Ref. [92].
Micromachines 15 00127 g004
Figure 5. Layout dependence of HCD in SOI FinFETs; HCD becomes increasingly severe as the Fin number increases under stress condition of Vds = Vgs = 2.5 V. Data from Ref. [93].
Figure 5. Layout dependence of HCD in SOI FinFETs; HCD becomes increasingly severe as the Fin number increases under stress condition of Vds = Vgs = 2.5 V. Data from Ref. [93].
Micromachines 15 00127 g005
Figure 6. Schematic of the dominant aging region in the full {Vgs, Vds} bias map. Devices suffer mixed HCD-BTI degradation in Vgs > 0 V, Vds > 0 V bias condition region.
Figure 6. Schematic of the dominant aging region in the full {Vgs, Vds} bias map. Devices suffer mixed HCD-BTI degradation in Vgs > 0 V, Vds > 0 V bias condition region.
Micromachines 15 00127 g006
Figure 7. Schematic of the uniform BTI vs. inhomogeneous BTI. (a) Device suffers unifrom BTI under Vgs > 0 V bias condition. (b) Device suffers inhomogeneous BTI under Vgs > 0 V, Vds > 0 V bias condition.
Figure 7. Schematic of the uniform BTI vs. inhomogeneous BTI. (a) Device suffers unifrom BTI under Vgs > 0 V bias condition. (b) Device suffers inhomogeneous BTI under Vgs > 0 V, Vds > 0 V bias condition.
Micromachines 15 00127 g007
Figure 8. The ratio of inhomogeneous BTI and uniform BTI under Vgs = Vds condition. Data from Ref. [95].
Figure 8. The ratio of inhomogeneous BTI and uniform BTI under Vgs = Vds condition. Data from Ref. [95].
Micromachines 15 00127 g008
Figure 9. The ratio of HCD and BTI contributions in full map with self-heating effect under different Vgs stress frequencies (Vgs is AC or DC signal with duty factor DF = 0.5, Vds is DC bias): (a) nFinFET; (b) pFinFET.
Figure 9. The ratio of HCD and BTI contributions in full map with self-heating effect under different Vgs stress frequencies (Vgs is AC or DC signal with duty factor DF = 0.5, Vds is DC bias): (a) nFinFET; (b) pFinFET.
Micromachines 15 00127 g009
Figure 10. Experimental results of OSD in pMOSFET—Vth decrease and Idsat increase after OSD. Data from Ref. [97].
Figure 10. Experimental results of OSD in pMOSFET—Vth decrease and Idsat increase after OSD. Data from Ref. [97].
Micromachines 15 00127 g010
Figure 11. Experimental results of OSD in FinFET: the non-monotonic shift of threshold voltage caused by the contribution of multiple electrical traps. Data from Ref. [101].
Figure 11. Experimental results of OSD in FinFET: the non-monotonic shift of threshold voltage caused by the contribution of multiple electrical traps. Data from Ref. [101].
Micromachines 15 00127 g011
Figure 12. Weibull slops of on-state TDDB with different Vds bias. As the Vds increases, the lifetime of TDDB decreases. Data from [103].
Figure 12. Weibull slops of on-state TDDB with different Vds bias. As the Vds increases, the lifetime of TDDB decreases. Data from [103].
Micromachines 15 00127 g012
Figure 13. The comparison of high-resolution transmission electron microscope images of dielectric breakdown-induced epitaxy morphologies compared in (a) Vgs-only TDDB and (b) on-state TDDB; comparison of tensile strain analysis in (c) Vgs-only TDDB and (d) on-state TDDB. Tensile strain variations induced by DBIE near the Fin middle have been observed after on-state TDDB [102]. Copyright (2023) The Japan Society of Applied Physics.
Figure 13. The comparison of high-resolution transmission electron microscope images of dielectric breakdown-induced epitaxy morphologies compared in (a) Vgs-only TDDB and (b) on-state TDDB; comparison of tensile strain analysis in (c) Vgs-only TDDB and (d) on-state TDDB. Tensile strain variations induced by DBIE near the Fin middle have been observed after on-state TDDB [102]. Copyright (2023) The Japan Society of Applied Physics.
Micromachines 15 00127 g013
Figure 14. Gate metal electromigration evolution from Vgs-only TDDB to on-state TDDB. (ac) No gate metal electromigration after Vgs-only TDDB; (d,e) gate metal electromigration occurred after on-state soft breakdown; (fh) gate metal electromigration intensifies with the increase of gate current in on-state TDDB [102]. Copyright (2023) The Japan Society of Applied Physics.
Figure 14. Gate metal electromigration evolution from Vgs-only TDDB to on-state TDDB. (ac) No gate metal electromigration after Vgs-only TDDB; (d,e) gate metal electromigration occurred after on-state soft breakdown; (fh) gate metal electromigration intensifies with the increase of gate current in on-state TDDB [102]. Copyright (2023) The Japan Society of Applied Physics.
Micromachines 15 00127 g014
Figure 15. The comparison of experimental data and model prediction. The model with simple superposition of a single degradation mechanism cannot predict the experimental data and needs to consider the historical effect of inhomogeneous BTI during the HCD stage. Data from Ref. [105].
Figure 15. The comparison of experimental data and model prediction. The model with simple superposition of a single degradation mechanism cannot predict the experimental data and needs to consider the historical effect of inhomogeneous BTI during the HCD stage. Data from Ref. [105].
Micromachines 15 00127 g015
Figure 16. Measurement results of alternating HCD-BTI stress conditions. BTI recovery exhibits an abnormal recovery trend starting from the HCD stress stage. Data from Ref. [108].
Figure 16. Measurement results of alternating HCD-BTI stress conditions. BTI recovery exhibits an abnormal recovery trend starting from the HCD stress stage. Data from Ref. [108].
Micromachines 15 00127 g016
Figure 17. The mixed-mode stress simulation flow with transient self-heating effects [71]. Firstly, input device characteristics and bias waveforms. If Vgs > 0 V and Vds > 0 V, consider the impact of the transient heating stage on HCD, inhomogeneous BTI degradation, and BTI recovery effects. If Vgs > 0 V and Vds = 0 V, consider the residual high temperature during the cooling process and its impact on BTI degradation. If Vgs = 0 V and Vds = 0 V, consider the residual high temperature during the cooling process and its impact on BTI recovery. Copyright (2023) IEEE.
Figure 17. The mixed-mode stress simulation flow with transient self-heating effects [71]. Firstly, input device characteristics and bias waveforms. If Vgs > 0 V and Vds > 0 V, consider the impact of the transient heating stage on HCD, inhomogeneous BTI degradation, and BTI recovery effects. If Vgs > 0 V and Vds = 0 V, consider the residual high temperature during the cooling process and its impact on BTI degradation. If Vgs = 0 V and Vds = 0 V, consider the residual high temperature during the cooling process and its impact on BTI recovery. Copyright (2023) IEEE.
Micromachines 15 00127 g017
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Sun, Z.; Chen, S.; Zhang, L.; Huang, R.; Wang, R. The Understanding and Compact Modeling of Reliability in Modern Metal–Oxide–Semiconductor Field-Effect Transistors: From Single-Mode to Mixed-Mode Mechanisms. Micromachines 2024, 15, 127. https://doi.org/10.3390/mi15010127

AMA Style

Sun Z, Chen S, Zhang L, Huang R, Wang R. The Understanding and Compact Modeling of Reliability in Modern Metal–Oxide–Semiconductor Field-Effect Transistors: From Single-Mode to Mixed-Mode Mechanisms. Micromachines. 2024; 15(1):127. https://doi.org/10.3390/mi15010127

Chicago/Turabian Style

Sun, Zixuan, Sihao Chen, Lining Zhang, Ru Huang, and Runsheng Wang. 2024. "The Understanding and Compact Modeling of Reliability in Modern Metal–Oxide–Semiconductor Field-Effect Transistors: From Single-Mode to Mixed-Mode Mechanisms" Micromachines 15, no. 1: 127. https://doi.org/10.3390/mi15010127

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop