Next Article in Journal
Acoustic Characterization of Transmitted and Received Acoustic Properties of Air-Coupled Ultrasonic Transducers Based on Matching Layer of Organosilicon Hollow Glass Microsphere
Next Article in Special Issue
Electromagnetic Susceptibility Analysis of the Operational Amplifier to Conducted EMI Injected through the Power Supply Port
Previous Article in Journal
Editorial for the Special Issue on the New Trends in Microwave/Millimeter Antennas/Filters: From Fundamental Research to Applications
Previous Article in Special Issue
A State-of-the-Art Review on CMOS Radio Frequency Power Amplifiers for Wireless Communication Systems
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Compact Physics Hot-Carrier Degradation Model Valid over a Wide Bias Range

1
Imec, Kapeldreef 75, 3001 Leuven, Belgium
2
Institute of Microelectronics, Peking University, Beijing 100871, China
3
A.F. Ioffe Institute, Polytechnicheskaya 26, 194021 St.-Petersburg, Russia
*
Author to whom correspondence should be addressed.
Micromachines 2023, 14(11), 2018; https://doi.org/10.3390/mi14112018
Submission received: 6 October 2023 / Revised: 23 October 2023 / Accepted: 25 October 2023 / Published: 30 October 2023
(This article belongs to the Special Issue State-of-the-Art CMOS and MEMS Devices)

Abstract

:
We develop a compact physics model for hot-carrier degradation (HCD) that is valid over a wide range of gate and drain voltages ( V gs  and  V ds , respectively). Special attention is paid to the contribution of secondary carriers (generated by impact ionization) to HCD, which was shown to be significant under stress conditions with low  V gs  and relatively high  V ds . Implementation of this contribution is based on refined modeling of carrier transport for both primary and secondary carriers. To validate the model, we employ foundry-quality n-channel transistors and a broad range of stress voltages  { V gs , V ds } .

1. Introduction

Hot-carrier degradation (HCD) has been recognized as the most harmful degradation issue limiting the lifetime of modern metal-oxide semiconductor field-effect transistors (MOSFETs) [1,2,3]. As such, comprehensive and predictive modeling of HCD is crucial for enabling further development of micro/nanoelectronics. Due to the complexity of the physical mechanisms underlying HCD [4,5], available physics-based models for HCD are computationally expensive [6,7,8,9,10,11]. On the other hand, empirical and phenomenological models [12,13,14,15,16,17,18,19,20,21] lack predictive capabilities because they do not capture the entire physical picture behind HCD. Hence, they cannot ensure that device lifetime under the operating regime is adequately predicted based on available experimental data acquired under more aggressive stress conditions, with most probably another dominant mechanism driving HCD.
In order to reach a compromise between model accuracy and optimized computational resources, we recently developed a compact physics model (CPM) for HCD [22], which was implemented on top of the reliability simulator Comphy [23]. This CPM relied on a simplified description of carrier transport for primary carriers and was shown to capture HCD in short-channel FETs stressed under the worst-case conditions (WCC) of HCD, i.e., under  V gs  =  V ds  ( V gs  and  V ds  are gate and drain voltages, respectively) [24,25,26,27]. However, the model considered only the contribution of primary carriers to HCD, and the contribution of secondary carriers generated by impact ionization (II) was not implemented. On the other hand, in our recent publications, we demonstrated both experimentally [28] and theoretically [29,30] that under HC conditions with  V gs  substantially lower than  V ds , secondary carriers provide a strong contribution to HCD. Moreover, secondary carriers generated by impact ionization were shown to give rise to the so-called turn-around effect when contributions to total damage related to primary and secondary carriers partially compensate each other. For example, screening of damage produced by primary electrons by the degradation component driven by secondary holes in lightly-doped drain nMOSFETs was reported by Vuillaume et al. [31]. Next, Chen et al. [32] demonstrated the same phenomenon in high-voltage drain-extended metal-oxide-semiconductor transistors subjected to hot-carrier degradation. Furthermore, Starkov et al. [33] performed an analysis of the turn-around effect in planar 5 V nMOSFETs based on results obtained with the charge-pumping technique; in a more recent paper [34], they carried out modeling of this phenomenon. Such an intricate behavior (when primary and secondary carriers generate/populate traps located in different device sections) was shown by various groups to be typical also for OFF-state stress [35,36,37]. Finally, in our recent work, we demonstrated that II can be the reason for the stimulated recovery of bias temperature instability (BTI) induced by HCD [38]. Therefore, the main goal of this work is to extend our CPM for HCD by incorporating the impact of secondary carriers on HCD.
Another important improvement of the CPM presented in this paper is the refinement of carrier transport treatment. Indeed, in the previous version of our CPM, average carrier energy was evaluated via the homogeneous energy balance equation used in drift-diffusion (DD) models [39], i.e., this energy was determined by the square of the electric field and the carrier mobility. However, the DD approach to the Boltzmann transport equation (BTE) solution is known to fail to model carrier transport in ultra-scaled FETs [40,41]. Therefore, implementation of the contribution of secondary carriers should rely on refined carrier transport treatment for both primary and secondary carriers [42]. The extended CPM is validated here against HCD data over a broad  { V gs , V ds }  range.

2. Experimental

To validate the model, we used planar n-channel MOSFETs (with primary and secondary carriers being electrons and holes, respectively). Note that we intentionally used planar MOSFETs with simplified geometry. The reason behind this is that transistors of novel architectures—such as fin [43,44], nanowire [45,46], nanosheet [47,48], forksheet [49,50,51], and complementary FETs [52,53]—have confined channels. As a consequence, modeling HCD in such devices would result in additional challenges due to quantum confinement effects and the 3D nature of the FET structure. In this study, however, we focus on the CPM for HCD and strive to minimize the complexity originating from “side effects”. Employed transistors are foundry-quality devices with a channel length of  L g  = 28 nm and an operating voltage of  V dd  = 1.2 V. Their high-k gate stack is made of silica and hafnia layers with an equivalent oxide thickness of  1.3  nm. The devices were stressed under the worst-case conditions (WCC) for HCD in short-channel MOSFETs, i.e., at  V gs  equal to  V ds ; for both voltages, we used values of 1.8, 1.9, and 2.0 V. We also subjected these MOSFETs to HC stress at much lower  V gs , namely at  V gs  of 1.0 V ( V ds  was chosen to be equal to 1.9, 2.0, and 2.1 V) and  V gs  = 0.69 V ( V ds  values were equal to 1.8, 1.9, and 2.0 V). All experiments were conducted at room temperature with stress times of up to 144 s.
To assess HCD, we monitored relative changes ( Δ I d , lin ) of the drain current in the linear regime (with  V ds  = 50 mV and  V gs  = 1.2 V) as a function of stress time (t). Recorded  Δ I d , lin  values were relative, i.e., normalized to the drain current in the pristine MOSFET. To enable fast measurements of many samples in parallel we used on-chip smart arrays [54,55]. Consequently, for each combination of  V gs  and  V ds  we employed ∼3800 samples, obtained  Δ I d , lin  changes, and then for each stress time step, we extracted their mean values. Further in the paper under  Δ I d , lin , we understand these mean values, which are summarized in Figure 1, Figure 2 and Figure 3. Our compact physics model was verified in order to reproduce these mean  Δ I d , lin ( t )  traces.
Note that the extraction of  Δ I d , lin  drifts was based on measurements of entire  I d V gs  curves with the gate voltage sweeping from 0 to 1.2 V (meanwhile, the stress phase was interrupted). Such a procedure required a measurement time of ∼0.75 s, and therefore HCD was assessed with the corresponding delay. Although recovery of HCD (or more precisely, passivation of P b  centers that were created by the rupture of Si-H bonds [56,57,58,59]) was reported by several groups [60,61,62,63,64], this process was shown to have a significant rate only at temperatures of 150 °C or higher. As our experiments were conducted at room temperature, we can conclude that  Δ I d , lin  values did not recover during the aforementioned measurement delay. Another recoverable contribution to the entire damage can originate from the trapping of carriers by defects in the dielectric layer (bias temperature instability) [65,66]. However, this type of degradation is known to be homogeneously distributed over the coordinates along the  Si / SiO 2  interface. Our recent experimental studies have shown that under the same stress conditions, as in this paper applied to the same devices, the factor of degradation localization is within the range of 0.6–0.8 (a value of this factor equal to 1 corresponds to strong damage localization near the drain). In other words, this type of damage is relatively strongly localized, and therefore the contribution of bias temperature instability can be neglected [55]. The fact that this localization factor is less than 1 stems from the contribution to HCD provided by secondary holes with the position of the corresponding interface trap density maximum shifted towards the source, as compared with the near-drain  N it  maximum related to primary electrons (see Section 4). Moreover, our experience in the field of BTI suggests that significant BTI recovery occurs after relaxation time, which is an order of magnitude longer than stress time [67]. This is not the case for our measurements because relaxation time was ∼0.75 s, but the shortest stress duration was 1 s. Hence, even though BTI provides a non-negligible contribution to the total damage, one can neglect the recovery of  Δ I d , lin  values.
In our study, we used very high stress voltages,  V gs  and  V ds . There are several reasons for this. According to our understanding, the physical mechanism behind HCD is the dissociation of Si-H bonds at the  Si / SiO 2  interface induced by channel carriers [6,27,68]. The bond dissociation reaction has two pathways, i.e., the single- and multiple-carrier (SC and MC, respectively) mechanisms of bond dissociation [4,69]. Although the MC-process is considered dominant for HCD under low-voltage stress conditions, it was shown that this mechanism can lead to a significant contribution to HCD even in high-voltage transistors [7,70,71]. The MC-process is driven by colder carriers, and a high carrier concentration typically results in a high rate of this mechanism. Therefore, we intentionally used high  V gs  values to ensure that this process has a significant rate. As for the SC-process, it is driven by hot carriers whose energies are determined by the applied  V ds . To ensure that the SC-mechanism has a high rate as well, we applied large source-drain voltages. One of the goals of this study was to analyze the contribution of secondary carriers generated by II to HCD, and therefore high  V ds  values were chosen to enhance this contribution. Finally, in our experiments, stress times were limited by 144 s, and therefore these  { V ds  and  V gs }  values were supposed to result in significant  Δ I d , lin  changes within the aforementioned stress time window.
Let us mention that the time exponents featured by the measured  Δ I d , lin ( t )  curves (Figure 1, Figure 2 and Figure 3) are within the range of 0.2–0.35 and therefore smaller than those reported for HCD by several other groups [72,73]. This is because in our study we used quite aggressive HC stress: one can see that under the lowest stress voltages of  V gs  = 0.69 V and  V ds  = 1.8 V (see Figure 2), already at a stress time of ∼1 s the  Δ I d , lin  value is ∼2%, while under  V gs  =  V ds  = 2.0 V (Figure 1), the drain current change  Δ I d , lin  for  t 1 s substantially exceeds  10 % . We analyzed the behavior of HCD under high stress voltages in one of our previous papers [74]. It was shown that even at short stress times, the drain area of the transistor is already heavily degraded and the concentration of  N it  is saturated, i.e., the available Si-H bonds are predominantly broken, and the near-drain  N it  value does not vary with the coordinate along the interface. In this scenario, the further increase in  Δ I d , lin  with t is due to propagation of the  N it  front inside the device channel. As a result, the time exponent of the  Δ I d , lin ( t )  curves is somewhat lower than that typical for milder HCD. More severe stress conditions with a higher  V gs  value result in a broader degraded region with almost constant  N it  near the device drain, a more saturated HCD, and consequently a smaller time exponent. Such a behavior is consistent with HCD data published by Varghese et al. [75] and Yamagata et al. [76], where the authors have shown that the time slope of degradation traces reduces at higher stress voltages; the same trend was obtained within our TCAD model applied to HCD modeling in finFETs [77]. To conclude, we intentionally used very aggressive stress conditions and therefore our obtained  Δ I d , lin ( t )  dependencies were more gradual compared with those typically monitored during HCD.

3. The Model

Our CPM is based on the detailed physical picture underlying HCD, which was captured in the TCAD version of our HCD model [9,78]. Both versions of the model consider the dissociation of Si-H bonds at the  Si / SiO 2  interface as the microscopic mechanism responsible for HCD. Such a rupture reaction can be driven by a solitary highly energetical carrier; this process is referred to as the single-carrier (SC) mechanism of bond breakage [68,69]. In other words, the model is consistent with the energy-driven paradigm described by Rauch, La Rosa, and Guarin [19,21]. Alternatively, a series of colder carriers can induce the multivibrational excitation of the bond, which results in its weakening and finally rupture; this scenario is referred to as the multiple-carrier (MC) mechanism of bond rupture, as proposed by the group of Hess [4,69,79,80]. In the case of ultra-scaled MOSFETs, it was shown that the most probable pathway of bond dissociation is via coupled MC- and SC-processes [8,9]. Therefore, to calculate the rates of the SC- and MC-mechanisms, one needs to solve the carrier transport sub-task of the entire problem of HCD modeling and obtain the energy distribution function (DF) for carriers. Throughout the paper, we consider HCD in an nMOSFET, which is sketched in Figure 4.

3.1. Transport of Primary Carriers

In the TCAD version of our HCD model [9], carrier DFs were obtained by solving the carrier BTE using the deterministic solver ViennaSHE [81,82,83,84], which solved BTE using the expansion of the carrier energy distribution function into a series of spherical harmonics [85,86,87]. Such a solution is computationally expensive, and therefore, in the CPM for both types of carriers, we use the analytical expression for the carrier DF  f ( E )  (with E being carrier energy), as proposed by Grasser et al. [88]:
f ( E ) = A exp E E ref b + C exp E k B T L ,
where the first term represents the fraction of non-equilibrium (hot) carriers and the second term corresponds to the Maxwellian distribution of thermalized (cold) carriers;  E ref  is the reference energy for hot carriers; A and C are weighting factors;  k B  the Boltzmann constant; and  T L  is the lattice temperature. The exponent b is chosen to be 1 within the source and drain regions and 2 elsewhere.
The transistor is represented by a series of slices in the source-drain direction. For each of the slices, we need to obtain the three adjustable parameters  E ref , A, and C, which determine the carrier DF [22]. For primary carriers, in each slice labeled with an index i, we solve the system of three equations that are based on three moments of the BTE, with the closures being the carrier concentration (n), average carrier energy ( E e ), and the kurtosis ( β e ):
0 f e , i ( E ) g c ( E ) d E = n i
1 n i 0 E f e , i ( E ) g c ( E ) d E = E e , i
3 5 E 2 E 2 = 3 5 n i 0 E 2 f e , i ( E ) g c ( E ) d E 0 E f e , i ( E ) g c ( E ) d E 2 = β e , i .
For the density-of-states in the Si conduction band  g c ( E )  in (2) and (3), we use the analytical expression based on the Kane non-parabolic dispersion relation [88].
The carrier concentration is computed using the Poisson solver implemented in the reliability simulator, Comphy. To calculate the carrier kurtosis, we use the empirical expression proposed by Grasser et al. [88], derived from the rigorous BTE solution (for more detail, see [22]). Within the previous version of our CPM [22], we calculated average electron energy ( E e ) as
E e = 3 2 k B T L + q τ e , E μ e F Si 2 ,
where  τ e , E  is the electron energy relaxation time,  μ e  the electron mobility,  F Si  the electric field in the channel, and q the elementary charge.
Equation (5) corresponds to the manner of carrier energy evaluation within the DD approach to the BTE solution, which leads to spurious results for short-channel FETs. Figure 5 shows  E e  plotted as a function of the coordinate x along the  Si / SiO 2  interface evaluated using (5) and the  E e ( x )  profile obtained from the rigorous BTE solution with ViennaSHE. These  E e ( x )  dependencies were obtained for an nMOSFET with  L g  = 28 nm (the source is at x = 0 nm); see Section 2; the applied voltages are  V gs  =  V ds  = 1.8 V. One can see that these two profiles have different shapes. Even more, at a moderate  V gs  of 1.8 V, the average electron energy obtained within the DD-based approach reaches a value of ∼10 eV, which is unphysical. Therefore, in the refined CPM for HCD, the carrier transport description needs to be revised.
Within the refined transport modeling approach (Figure 6), we consider two competing mechanisms, i.e., carrier acceleration by the electric field and energy loss due to scattering. Energy gained by carriers is determined by the band bending profile in the source-drain direction, and this profile is obtained from the Poisson solver of Comphy. For compact physics treatment of scattering mechanisms, we assume that a carrier loses an amount of energy  δ E  (=28 meV) each time it passes a distance equal to its mean free path  λ . In the simplified version of the model, we use  λ = 3  nm; this value is consistent with the electron mean free path reported in [89].
Let us comment on the choice of the parameters  λ 0  and  δ E . The carrier mean free path is determined by the scattering rate and the carrier velocity. These two quantities are a function of energy, and therefore, for a thorough evaluation of the mean free path, we need to consider the actual energy DF of the carrier ensemble. Based on our experience in modeling carrier transport in sub-100 nm MOSFETs, we envisage that DFs of substantially hot carriers feature a plateau (i.e., in this local energy range, the DF is a weak function of carrier energy) spreading up to energies of ∼ | q V ds |  [78,90]. HCD measurements in modern scaled MOSFETs are conducted at  V ds  lying in the range of  [ 1.0 , 2.0 ] V. In this energy range, the electron-phonon scattering rate is ∼(0.5–1.0) × 10 14 s 1  [91,92,93]. The hole-phonon scattering rates in the valence band also have comparable values [93]. As for scattering at ionized impurities, Qiu et al. showed that this mechanism is dominant at carrier energies not exceeding ∼ 0.3 eV, while at higher energies, electron-phonon interactions prevail [94]. Therefore, for our estimation, ionized impurity scattering can be neglected. The hole and electron velocities in the aforementioned energy segment are in the range of ∼(0.5–1.0) × 10 8 cm/s [91,95]. By combining the given scattering rates and carrier velocities, we obtain the carrier mean free path to be within an interval of 2–10 nm, i.e., the value  λ = 3  nm used in our CPM is consistent with our estimation.
The values of energy loss due to the interaction of an electron with an optical phonon are 62.0 and 58.6 meV for longitudinal and transverse optical modes, respectively [95]. For acoustic phonons, these values are 12.1 and 19.0 meV for the two branches of transverse acoustic phonons and 18.4 and 47.4 meV for the longitudinal acoustic phonon branches [95]. Based on the listed values, scattering at acoustic phonons is often considered quasi-elastic, and the corresponding contribution to carrier energy loss is hence neglected. However, recently, Fischetti et al. [92] suggested that this assumption should be revised because, although energy loss due to optical phonon scattering is higher than that typical for scattering at acoustic phonons, the rate of the former mechanism is significantly lower than in the latter case. This idea is consistent with previously published data [96]. Therefore, our energy loss parameter  δ E = 28 meV is a reasonable trade-off between energy loss values typical for optical and acoustic phonons.
Figure 7 compares the  E e ( x )  profiles calculated for  V gs  = 1.0 V and  V ds  = 2.1 V using the refined carrier transport model and the DD-based approach of Equation (5). One can see that the former profile is quantitatively similar to that obtained using ViennaSHE (Figure 5) and the maximum  E e  is ∼1.7 eV, i.e., reasonable for  V ds  = 2.1 V. Quite to the contrary, the profile evaluated with the DD-based approach reaches an energy of more than 20 eV, thereby manifesting the inapplicability of Formula (5).
With  E e  calculated for each transistor slice, we solve the system (2)–(4) and obtain the electron energy DF  f e . An example of generalized electron DFs (i.e.,  f e , i ( E ) g c ( E )  with dimensionality of J 1 m 3 ) for  V gs  = 1.0 V and  V ds  = 2.1 V is shown in Figure 8 for five different positions at the  Si / SiO 2  interface with x = 0.2, 10.2, 20.2, 25.0, and 26.5 nm. The position x = 0.2 nm corresponds to the source area, where electrons are thermalized, and therefore the DF is Maxwellian. As x changes towards the drain, the DFs shift from equilibrium, which is manifested by the extension of the plateau (with DF values being almost unchanged with increasing E). For example, at x = 26.5 nm, when almost the entire gate voltage  V ds  drops across the channel, this plateau propagates up to ∼1.9 eV. This DF transformation is consistent with the behavior of electron DFs obtained using ViennaSHE; see [9].

3.2. Transport of Secondary Carriers

With the obtained DFs for primary electrons, we proceed to the modeling of carrier transport for secondary holes. Secondary carriers are generated by impact ionization, and to evaluate the II rate ( G II ), we use the model by Grasser et al. [88]:
G II = P II ( E ) f e ( E ) g c ( E ) d E ,
where the reaction rate  P II ( E )  is
P II ( E ) = P 0 E E th E th 2
with  E th  = 1.12 eV, i.e., equal to the band gap of Si and  P 0  = 4.18 × 10 12 s 1 .
A comparison of the  G II  dependencies calculated with the refined CPM and the DD-based approach is given in Figure 9. Whereas the former profile is in good qualitative agreement with the  G II  results from [88], the latter one substantially deviates from them in terms of the  G II ( x )  shape and peak values. Therefore, using the  G II  rate obtained with the DD based approach would result in a severely overestimated contribution of secondary holes and hence spurious  Δ I d , lin  values. Figure 10 provides a summary of  G II ( x )  profiles evaluated with different values of energy loss:  δ E  = 28, 35, and 42 meV. The increasing value of  δ E  results in a lower average energy of the electron ensemble and therefore a smaller rate  G II .
For secondary carriers, which are generated by impact ionization, we do not have access to the hole concentration (p), and therefore, instead of the system (2)–(4), we employ a modified set of equations:
1 p i 0 E f h , i ( E ) g v ( E ) d E = E h , i
3 5 E 2 E 2 = 3 5 p i 0 E 2 f h , i ( E ) g v ( E ) d E 0 E f h , i ( E ) g v ( E ) d E 2 = β h , i
J h , i out = J h , i 1 in + G II , i l s R i l s .
In this system, Equation (10) is the flux balance equation for holes. For each slice i, we assume that the supply of secondary holes should be equal to the loss of holes; see Figure 11. The supply components are hole generation by II, designated as  G II , i l s  ( l s  is the slice length), and the hole flux  J h , i 1 in  from the previous slice with index  i 1 . Note that in the case of holes, the slice enumeration begins at the drain (this slice has i = 0), and the index i increases towards the source (Figure 11). Hole loss is due to recombination with the rate  R i  and the flux  J h , i out  of holes departing from the slice i to the slice  i + 1 . The flux of holes entering slice i (see Figure 11) is calculated as
J h , i 1 in = 2 π f h , i 1 ( E ) g v ( E ) v h , i 1 ( E ) d E ,
where  f h , i  is the hole energy DF,  g v  is the density of states in the valence band, and  v h , i  is the velocity of holes. The coefficient  2 / π  is related to the averaging of  cos θ  (where  θ  is the angle between the carrier velocity and the transport direction) over a uniform distribution of  θ [ π / 2 ; π / 2 ] . Holes leaving the slice i (flux  J h , i out  in Figure 11) can move in any direction and therefore the coefficient  2 / π  is omitted:
J h , i 1 out = f h , i 1 ( E ) g v ( E ) v h , i 1 ( E ) d E ,
The hole concentration p enters (9) and it is evaluated as
p i = f h , i ( E ) g v ( E ) d E .
For the recombination rate  R i  we assume that the concentration of secondary holes is much less than that of primary electrons, i.e.,  p n , and therefore [97]:
R i = p i / τ E , h ,
where  τ E , h  is the energy relaxation time for holes.
Hole DFs obtained by solving the system of Equations (8)–(10) for  V gs  = 1.0 V and  V ds  = 2.1 V are plotted in Figure 12 for different positions along the interface. One can see that for the drain area at x = 26 nm (this x value corresponds to the II rate peak, Figure 10), where holes are predominantly generated, the DF is Maxwellian because holes are thermalized. However, holes are accelerated by the electric field towards the source and their DFs become strongly non-equilibrium. The impact of the carrier energy loss  δ E  on hole DFs is depicted in Figure 13 for two positions along the interface; like in Figure 10, we used  δ E  = 28, 35, and 42 meV. One can see that the increasing  δ E  leads to lower values of DFs in the entire energy range. This trend appears to be very reasonable because a higher  δ E  results in a lower II rate (Figure 10), thereby decreasing the hole concentration, and holes themselves lose more energy, i.e., become colder. Finally, Figure 14 provides a comparison of hole DFs calculated with the refined carrier transport treatment and within the DD-based approach. It can be seen that the latter DFs have enormously high values, and their behavior is consistent with the spurious II rate (Figure 9) obtained using (5).
The evaluated DFs for both types of carriers are then used to calculate bond dissociation rates and the interface trap density  N it  as a function of the lateral coordinate x for each stress time step t; for details, see [9,78]. The  N it ( x )  profile is then employed to calculate  Δ I d , lin ( t )  traces taking into account both electrostatic perturbation of the stressed device and mobility reduction; this procedure is described in [22].
It is important to emphasize that the developed CPM for HCD allows one to dramatically reduce computational time. The most computationally expensive part of our TCAD model for HCD is transport simulation. Depending on the device architecture (its complexity, the number of mesh points, etc.) and stress conditions, solving the BTE for a real device structure may require a few hours. For example, transport simulations carried out for the MOSFET employed in this study took approximately 3 h on a desktop. As for the CPM, its accuracy depends on the number of slices used to reproduce the transistor. However, increasing the number of slices would increase computational time (roughly) proportionally. Thus, it is important to find a balance between the number of slices (computational time) and model accuracy. For the calculations presented in this work, we used a relatively large number of slices, namely 100. As the gate length of our devices is 28 nm, using 100 slices results in a good resolution comparable to that provided by fine meshes used in commercial device simulators. In this case all calculations (including transport modeling, calculations of the  N it  density, and finally obtaining  Δ I d , lin ( t )  traces) were completed within 1–2 min on a laptop.

4. Degradation Characteristics

For the case of the HCD WCC in short-channel transistors with  V gs  =  V ds  = 1.8, 1.9, and 2.0 V,  Δ I d , lin ( t )  traces are summarized in Figure 1. One can see that the refined model can capture experimental data with good accuracy.  Δ I d , lin ( t )  curves modeled with increased values of the energy loss parameter  δ E  of 35 and 42 meV have lower values than those simulated with  δ E  = 28 meV. This tendency is consistent with the impact of  δ E  on the II rate  G II  (Figure 10) and hole DFs (Figure 13). We also simulated  Δ I d , lin ( t )  dependencies disregarding the contribution of secondary holes, and one can see that these traces coincide with those obtained using the “full” model. In other words, if we neglect the HCD component driven by holes, we do not underestimate  Δ I d , lin  changes, i.e., at  V gs  =  V ds , the impact of secondary holes is not significant.
Quite to the contrary, at a much lower  V gs  of 1.0 V, neglecting the contribution of secondary holes results in substantial underestimation of HCD (see Figure 2). The same behavior is also pronounced at  V gs  = 0.69 V (Figure 3), but in a less prominent way. Such a trend can be understood considering that the secondary holes are generated by II, whose rate features a maximum at  V gs  = (0.4–0.5) V ds  [97]. This interrelation of the voltages corresponds to the stress conditions with  V gs  = 1.0 V and, to a lesser extent, to the regimes with  V gs  = 0.69 V being in mismatch with the WCC.
Another important peculiarity noticeable in Figure 2 and Figure 3 is that the contribution of secondary holes becomes more significant at higher  V ds . This is because with an increasing  V ds , primary carriers become hotter, thereby resulting in a higher II rate and a higher concentration of secondary carriers; the secondary carriers also reach higher energies at higher  V ds . This trend is confirmed by  N it ( x )  profiles obtained with and without the secondary hole contribution for  V gs  = 1.0 V and  V ds  = 1.9 and 2.1 V, see Figure 15. From Figure 15, we conclude that, in addition to the “traditional”  N it  peak located at the drain and originating from primary carriers [98,99,100], secondary holes result in an  N it  peak situated near the source, whose position is consistent with the results obtained using the TCAD model based on the rigorous BTE solution [30,101].
It is noteworthy that although the contribution to HCD provided by secondary holes is most prominent at the highest  V ds , it results in a change in the time slope of modeled  Δ I d , lin ( t )  traces. The data set used in this study was acquired within the time window limited by 144 s; however, at longer stress times (e.g., several ks), deviations of  Δ I d , lin  values calculated disregarding the impact of secondary carriers from experimental  Δ I d , lin  changes would be quite substantial. On the other hand, the major task the model aims at tackling is to—based on experimental data acquired at high stress voltages—predict device time-to-failure for regimes with operating voltages comparable to  V dd . Even though  V dd  in the employed MOSFETs is 1.2 V, and therefore, in the aforementioned regimes, the impact of secondary carriers is weak, the model validation/calibration disregarding their contribution would result in a spurious value of device lifetime.
Let us emphasize that the model can thoroughly reproduce experimental  Δ I d , lin ( t )  traces for all stress conditions.

5. Conclusions

We extended our compact physics model for hot-carrier degradation by implementing the component driven by secondary carriers generated by impact ionization. This implementation is based on refined carrier transport modeling for both types of carriers. Note that in the previous version of our CPM for HCD, the average carrier energy was estimated using the homogeneous energy balance equation, but this drift-diffusion-based treatment has very limited applicability in short-channel FETs. In the extended CPM, carrier energy is evaluated taking into account the band bending profile in the transport direction and the energy dissipation parameters such as the carrier mean free path and energy loss due to scattering.
The extended CPM was validated against HCD data acquired from foundry-quality nFETs (with secondary carriers being holes). Within model validation, the contribution of secondary holes was shown to be weak at the worst-case conditions for HCD ( V gs  =  V ds ) but became very significant at lower  V gs . This trend stems from two reasons: (1) the II rate, which generates the secondary carriers, is at its maximum when  V gs 0.5 V ds  (which is shifted from the WCC), and (2) under the WCC, the secondary hole contribution is screened by the damage generated by primary electrons. It has also been shown that the hole-induced portion of HCD becomes stronger at higher  V ds  values. This is because at a higher  V ds  primary carriers have higher energies, thereby resulting in a higher II rate and a higher concentration of generated electron-hole pairs; in addition, secondary holes themselves can reach higher energies under an increased  V ds . Note that the interface trap density peak caused by secondary holes is located near the source, which is consistent with the results obtained with the full TCAD version of our HCD model. Finally, the extended CPM model was shown to accurately capture  Δ I d , lin ( t )  traces over a broad range of stress conditions.

Author Contributions

Conceptualization, S.T., E.B., A.G., Z.Y., A.M., A.D.K., M.V. (Mikhail Vexler), M.V. (Michiel Vandemaele), R.W., A.S., A.C. and B.K.; methodology, S.T., E.B., A.G., Z.Y., A.M., A.D.K., M.V. (Mikhail Vexler), M.V. (Michiel Vandemaele), R.W., A.S., A.C. and B.K.; software, S.T., E.B., A.G., Z.Y., A.M. and A.D.K.; validation, S.T.; formal analysis, S.T., E.B., A.G., Z.Y., A.M., A.D.K., M.V. (Mikhail Vexler), M.V. (Michiel Vandemaele), R.W., A.S., A.C. and B.K.; investigation, S.T., E.B., A.G., Z.Y., A.M., A.D.K., M.V. (Mikhail Vexler), M.V. (Michiel Vandemaele), R.W., A.S., A.C. and B.K.; resources, R.W., A.S. and A.C.; data curation, S.T., E.B, A.G. and B.K.; writing—original draft preparation, S.T.; writing—review and editing, S.T., E.B., A.G., Z.Y., A.M., A.D.K., M.V. (Mikhail Vexler), M.V. (Michiel Vandemaele), R.W., A.S., A.C. and B.K.; visualization, S.T. and A.M.; supervision, R.W. and B.K.; project administration, R.W., A.S., A.C. and B.K.; funding acquisition, R.W., A.S. and A.C. All authors have read and agreed to the published version of the manuscript.

Funding

M.V. (Mikhail Vexler) acknowledges the support of the Ministry of Science and Higher Education of the Russian Federation (project no. 075-15-2020-790).

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
BTEBoltzmann Transport Equation
BTIBias Temperature Instability
CPMCompact Physics Model
HCDHot-Carrier Degradation
IIImpact Ionization
MCMultiple-Carrier (mechanism of Si-H bond dissociation)
MOSFETMetal-Oxide-Semiconductor Field Effect Transistor
SCSingle-Carrier (mechanism of Si-H bond dissociation)
WCCWorst-Case Conditions (of hot-carrier degradation)

References

  1. Ramey, S.; Ashutosh, A.; Auth, C.; Clifford, J.; Hattendorf, M.; Hicks, J.; James, R.; Rahman, A.; Sharma, V.; Amour, A.S.; et al. Intrinsic transistor reliability improvements from 22 nm tri-gate technology. In Proceedings of the 2013 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 14–18 April 2013; pp. 4C.5.1–4C.5.5. [Google Scholar] [CrossRef]
  2. Rahman, A.; Dacuna, J.; Nayak, P.; Leatherman, G.; Ramey, S. Reliability Studies of a 10 nm High-performance and Low-power CMOS Technology Featuring 3rd Generation FinFET and 5th Generation HK/MG. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. 6F.4-1–6F.4-6. [Google Scholar] [CrossRef]
  3. Paliwoda, P.; Chbili, Z.; Kerber, A.; Nigam, T.; Nagahiro, K.; Cimino, S.; Toledano-Luque, M.; Pantisano, L.; Min, B.W.; Misra, D. Self-Heating Effects on Hot Carrier Degradation and Its Impact on Logic Circuit Reliability. IEEE Trans. Device Mater. Reliab. 2019, 19, 249–254. [Google Scholar] [CrossRef]
  4. McMahon, W.; Matsuda, K.; Lee, J.; Hess, K.; Lyding, J. The Effects of a Multiple Carrier Model of Interface States Generation of Lifetime Extraction for MOSFETs. In Proceedings of the International Conference on Modeling and Simulation of Microsystem, San Juan, PR, USA, 23–25 April 2002; Volume 1, pp. 576–579. [Google Scholar]
  5. Haggag, A.; McMahon, W.; Hess, K.; Cheng, K.; Lee, J.; Lyding, J. High-performance Chip Reliability from Short-time-tests. In Statistical Models for Optical Interconnect and HCI/TDDB/NBTI Deep-Submicron Transistor Failures. In Proceedings of the International Reliability Physics Symposium (IRPS), Orlando, FL, USA, 30 April–3 May 2001; pp. 271–279. [Google Scholar]
  6. Reggiani, S.; Barone, G.; Poli, S.; Gnani, E.; Gnudi, A.; Baccarani, G.; Chuang, M.Y.; Tian, W.; Wise, R. TCAD Simulation of Hot-Carrier and Thermal Degradation in STI-LDMOS Transistors. IEEE Trans. Electron Devices 2013, 60, 691–698. [Google Scholar] [CrossRef]
  7. Reggiani, S.; Barone, G.; Gnani, E.; Gnudi, A.; Baccarani, G.; Poli, S.; Wise, R.; Chuang, M.Y.; Tian, W.; Pendharkar, S.; et al. Characterization and Modeling of Electrical Stress Degradation in STI-based Integrated Power Devices. Solid-State Electron. 2014, 102, 25–41. [Google Scholar] [CrossRef]
  8. Randriamihaja, Y.; Federspiel, X.; Huard, V.; Bravaix, A.; Palestri, P. New Hot Carrier Degradation Modeling Reconsidering the Role of EES in Ultra Short n-channel MOSFETs. In Proceedings of the International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 14–18 April 2013; pp. 1–5. [Google Scholar]
  9. Tyaginov, S.; Bina, M.; Franco, J.; Osintsev, D.; Triebl, O.; Kaczer, B.; Grasser, T. Physical Modeling of Hot-Carrier Degradation for Short- and Long-Channel MOSFETs. In Proceedings of the International Reliability Physics Symposium (IRPS), Waikoloa, HI, USA, 1–5 June 2014; pp. XT.16.1–XT.16.8. [Google Scholar]
  10. Zaka, A.; Rafhay, Q.; Iellina, M.; Palestri, P.; Clerc, R.; Rideau, D.; Garetto, D.; Singer, J.; Pananakakis, G.; Tavernier, C.; et al. On the accuracy of current TCAD hot carrier injection models in nanoscale devices. Solid State Electron. 2010, 54, 1669–1674. [Google Scholar] [CrossRef]
  11. Zaka, A.; Palestri, P.; Rafhay, Q.; Clerc, R.; Iellina, M.; Rideau, D.; Tavernier, C.; Pananakakis, G.; Jaouen, H.; Selmi, L. An Efficient Nonlocal Hot Electron Model Accounting for Electron-Electron Scattering. IEEE Trans. Electron Devices 2012, 59, 983–993. [Google Scholar] [CrossRef]
  12. Hu, C.; Tam, S.; Hsu, F.; Ko, P.K.; Chan, T.Y.; Terrill, K. Hot-electron-induced MOSFET Degradation Model, Monitor and Improvement. IEEE Trans. Electron Devices 1985, 48, 375–385. [Google Scholar]
  13. Hu, C.; Tam, S.; Hsu, F.C.; Ko, P.K.; Chan, T.Y.; Terrill, K. Hot-electron-induced MOSFET degradation—Model, monitor, and improvement. IEEE J. Solid State Circuits 1985, 20, 295–305. [Google Scholar]
  14. Moens, P.; van den Bosch, G.; Groeseneken, G. Competing Hot Carrier Degradation Mechanisms in Lateral n-type DMOS Transistors. In Proceedings of the International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 30 March–4 April 2003; pp. 214–221. [Google Scholar]
  15. Moens, P.; Bauwens, F.; Nelson, M.; Tack, M. Electron trapping and interface trap generation in drain extended pMOS transistors. In Proceedings of the International Reliability Physics Symposium (IRPS), San Jose, CA, USA, 17–21 April 2005; pp. 93–96. [Google Scholar]
  16. Moens, P.; van den Bosch, G. Characterization of total self-operating area of lateral DMOS transistors. IEEE Trans Electron Devices 2006, 6, 349–357. [Google Scholar]
  17. Penzin, O.; Haggag, A.; McMahon, W.; Lyumkis, E.; Hess, K. MOSFET Degradation Kinetics and Its Simulation. IEEE Trans. Electron Devices 2003, 50, 1445–1450. [Google Scholar] [CrossRef]
  18. Okhonin, S.; Hessler, T.; Dutoit, M. Comparison of gate-induced drain leakage and charge pumping measurements for determining lateral interface trap profiles in electrically stressed MOSFET’s. IEEE Trans. Electron Devices 1996, 43, 605–612. [Google Scholar] [CrossRef]
  19. Rauch, S.; La Rosa, G.; Guarin, F. Role of E-E Scattering in the Enhancement of Channel Hot Carrier Degradation of Deep-Submicron NMOSFETs at high Vgs Conditions. IEEE Trans. Device Material. Reliab. 2001, 1, 113–119. [Google Scholar] [CrossRef]
  20. Rauch, S.; Rosa, G.L. The Energy Driven Paradigm of NMOSFET Hot Carrier Effects. In Proceedings of the International Reliability Physics Symposium (IRPS), San Jose, CA, USA, 17–21 April 2005. [Google Scholar]
  21. Rauch, S.E.; Rosa, G.L. The energy-driven paradigm of NMOSFET hot-carrier effects. IEEE Trans. Device Mater. Reliab. 2005, 5, 701–705. [Google Scholar] [CrossRef]
  22. Tyaginov, S.; Grill, A.; Vandemaele, M.; Grasser, T.; Hellings, G.; Makarov, A.; Jech, M.; Linten, D.; Kaczer, B. A Compact Physics Analytical Model for Hot-Carrier Degradation. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 1–7. [Google Scholar] [CrossRef]
  23. Rzepa, G.; Franco, J.; O’Sullivan, B.; Subirats, A.; Simicic, M.; Hellings, G.; Weckx, P.; Jech, M.; Knobloch, T.; Waltl, M.; et al. Comphy—A compact-physics framework for unified modeling of BTI. Microelectron. Reliab. 2018, 85, 49–65. [Google Scholar] [CrossRef]
  24. Li, E.; Rosenbaum, E.; Tao, J.; Yeap, G.F.; Lin, M.; Fang, P. Hot-carrier Effects in nMOSFETs in 0.1 μm CMOS Technology. In Proceedings of the International Reliability Physics Symposium (IRPS), San Diego, CA, USA, 22–25 March 1999; pp. 253–258. [Google Scholar]
  25. Lin, C.; Biesemans, S.; Han, L.; Houlihan, K.; Schiml, T.; Schruefer, K.; Wann, C.; Markhopf, R. Hot Carrier Reliability for 0.13 μm CMOS Technology with Dual Gate Oxide Thickness. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 10–13 December 2000; pp. 135–138. [Google Scholar]
  26. Woltjer, R.; Hamada, A.; Takeda, E. PMOSFET Hot Carrier Damage: Oxide Charge and Interface States. Semicond Sci. Technol. 1992, 7, B581–B584. [Google Scholar] [CrossRef]
  27. Tyaginov, S.; Starkov, I.; Enichlmair, H.; Park, J.; Jungemann, C.; Grasser, T. Physics-Based Hot-Carrier Degradation Models (invited). ECS Trans. 2011, 35, 321–352. [Google Scholar] [CrossRef]
  28. Lee, K.; Kaczer, B.; Kruv, A.; Gonzalez, M.; Degraeve, R.; Tyaginov, S.; Grill, A.; De Wolf, I. Hot-Electron-Induced Punch-Through (HEIP) Effect in p-MOSFET Enhanced by Mechanical Stress. IEEE Electron Device Lett. 2021, 42, 1424–1427. [Google Scholar] [CrossRef]
  29. Vandemaele, M.; Kaczer, B.; Tyaginov, S.; Stanojević, Z.; Makarov, A.; Chasin, A.; Bury, E.; Mertens, H.; Linten, D.; Groeseneken, G. Full (Vg, Vd) Bias Space Modeling of Hot-Carrier Degradation in Nanowire FETs. In Proceedings of the 2019 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 31 March–4 April 2019; pp. 1–7. [Google Scholar] [CrossRef]
  30. Jech, M.; Tyaginov, S.; Kaczer, B.; Franco, J.; Jabs, D.; Jungemann, C.; Waltl, M.; Grasser, T. First–Principles Parameter–Free Modeling of n– and p–FET Hot–Carrier Degradation. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 24.1.1–24.1.4. [Google Scholar] [CrossRef]
  31. Vuillaume, D.; Marchetaux, J.C.; Lippens, P.E.; Bravaix, A.; Boudou, A. A coupled study by floating-gate and charge-pumping techniques of hot carrier-induced defects in submicrometer LDD n-MOSFET’s. IEEE Trans. Electron Devices 1993, 40, 773–781. [Google Scholar] [CrossRef]
  32. Chen, J.; Chen, S.Y.; Wu, K.M.; Liu, C. Investigation of hot-carrier-induced degradation mechanisms in p-type high-voltage drain extended metal-oxide-semiconductor transistors. Jpn. J. Appl. Phys. 2009, 48, 04C039. [Google Scholar] [CrossRef]
  33. Starkov, I.; Enichlmair, H.; Tyaginov, S.; Grasser, T. Analysis of the Threshold Voltage Turn-Around Effect in High-Voltage n-MOSFETs due to Hot-Carrier Stress. In Proceedings of the International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 15–19 April 2012; p. 6. [Google Scholar]
  34. Starkov, I.; Starkov, A. Investigation of the threshold voltage turn-around effect in long-channel n-MOSFETs due to hot-carrier stress. Microelectron. Reliab. 2014, 54, 33–36. [Google Scholar] [CrossRef]
  35. Jung, S.G.; Lee, S.H.; Kim, C.K.; Yoo, M.S.; Yu, H.Y. Analysis of Drain Linear Current Turn-Around Effect in Off-State Stress Mode in pMOSFET. IEEE Electron Device Lett. 2020, 41, 804–807. [Google Scholar] [CrossRef]
  36. Cho, M.; Spessot, A.; Kaczer, B.; Aoulaiche, M.; Ritzenthaler, R.; Schram, T.; Fazan, P.; Horiguchi, N.; Linten, D. Off-state stress degradation mechanism on advanced p-MOSFETs. In Proceedings of the 2015 International Conference on IC Design Technology (ICICDT), Leuven, Belgium, 1–3 June 2015; pp. 1–4. [Google Scholar] [CrossRef]
  37. Spessot, A.; Aoulaiche, M.; Cho, M.; Franco, J.; Schram, T.; Ritzenthaler, R.; Kaczer, B. Impact of Off State Stress on advanced high-K metal gate NMOSFETs. In Proceedings of the 2014 44th European Solid State Device Research Conference (ESSDERC), Venice, Italy, 22–26 September 2014; pp. 365–368. [Google Scholar] [CrossRef]
  38. Jech, M.; Rott, G.A.; Reisinger, H.; Tyaginov, S.E.; Rzepa, G.; Grill, A.; Jabs, D.; Jungemann, C.; Waltl, M.; Grasser, T. Mixed Hot-Carrier/Bias Temperature Instability Degradation Regimes in Full {VG, VD} Bias Space: Implications and Peculiarities. IEEE Trans. Electron Devices 2020, 67, 3315–3322. [Google Scholar] [CrossRef]
  39. Gritsch, M. Numerical Modeling of Silicon-on-Insulator MOSFETs. Ph.D. Thesis, Technische Universität, Wien, Austria, 2002. [Google Scholar]
  40. Schenk, A. Advanced Physical Models for Silicon Device Simulations; Springer: Vienna, Austria, 1998. [Google Scholar]
  41. Lundstrom, M. Drift-diffusion and computational electronics—Still going strong after 40 years! In Proceedings of the 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Washington, DC, USA, 9–11 September 2015; pp. 1–3. [Google Scholar] [CrossRef]
  42. Tyaginov, S.; Bury, E.; Grill, A.; Yu, Z.; Makarov, A.; De Keersgieter, A.; Vexler, M.; Vandemaele, M.; Wang, R.; Spessot, A.; et al. On The Contribution of Secondary Holes in Hot-Carrier Degradation—A Compact Physics Modeling Perspective. In Proceedings of the 7th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Seoul, Republic of Korea, 7–10 March 2023; pp. 1–3. [Google Scholar] [CrossRef]
  43. Auth, C.; Allen, C.; Blattner, A.; Bergstrom, D.; Brazier, M.; Bost, M.; Buehler, M.; Chikarmane, V.; Ghani, T.; Glassman, T.; et al. A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In Proceedings of the 2012 Symposium on VLSI Technology (VLSIT), Honolulu, HI, USA, 12–14 June 2012; pp. 131–132. [Google Scholar] [CrossRef]
  44. Ferain, I.; Colinge, C.; Colinge, J.-P. Multigate transistors as the future of classical metal-oxide-semiconductor field-effect transistors. Nature 2011, 479, 310–316. [Google Scholar] [CrossRef] [PubMed]
  45. Colinge, J.-P.; Lee, C.-W.; Afzalian, A.; Akhavan, N.D.; Yan, R.; Ferain, I.; Razavi, P.; O’Neill, B.; Blake, A.; White, M.; et al. Nanowire transistors without junctions. Nat. Nano 2010, 5, 225–229. [Google Scholar] [CrossRef] [PubMed]
  46. Kuhn, K.J. Considerations for Ultimate CMOS Scaling. IEEE Trans. Electron Devices 2012, 59, 1813–1828. [Google Scholar] [CrossRef]
  47. Barraud, S.; Lapras, V.; Previtali, B.; Samson, M.P.; Lacord, J.; Martinie, S.; Jaud, M.A.; Athanasiou, S.; Triozon, F.; Rozeau, O.; et al. Performance and design considerations for gate-all-around stacked-NanoWires FETs. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 29.2.1–29.2.4. [Google Scholar] [CrossRef]
  48. Nagy, D.; Espiñeira, G.; Indalecio, G.; García-Loureiro, A.J.; Kalna, K.; Seoane, N. Benchmarking of FinFET, Nanosheet, and Nanowire FET Architectures for Future Technology Nodes. IEEE Access 2020, 8, 53196–53202. [Google Scholar] [CrossRef]
  49. Weckx, P.; Ryckaert, J.; Putcha, V.; De Keersgieter, A.; Boemmels, J.; Schuddinck, P.; Jang, D.; Yakimets, D.; Bardon, M.G.; Ragnarsson, L.Å.; et al. Stacked nanosheet fork architecture for SRAM design and device co-optimization toward 3 nm. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 20.5.1–20.5.4. [Google Scholar] [CrossRef]
  50. Zhang, J.; Frougier, J.; Greene, A.; Miao, X.; Yu, L.; Vega, R.; Montanini, P.; Durfee, C.; Gaul, A.; Pancharatnam, S.; et al. Full Bottom Dielectric Isolation to Enable Stacked Nanosheet Transistor for Low Power and High Performance Applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.6.1–11.6.4. [Google Scholar] [CrossRef]
  51. Ritzenthaler, R.; Mertens, H.; Eneman, G.; Simoen, E.; Bury, E.; Eyben, P.; Bufler, F.M.; Oniki, Y.; Briggs, B.; Chan, B.; et al. Comparison of Electrical Performance of Co-Integrated Forksheets and Nanosheets Transistors for the 2 nm Technological Node and Beyond. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 13–15 December 2021; pp. 26.2.1–26.2.4. [Google Scholar] [CrossRef]
  52. Ryckaert, J.; Schuddinck, P.; Weckx, P.; Bouche, G.; Vincent, B.; Smith, J.; Sherazi, Y.; Mallik, A.; Mertens, H.; Demuynck, S.; et al. The Complementary FET (CFET) for CMOS scaling beyond N3. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 141–142. [Google Scholar] [CrossRef]
  53. Liebmann, L.; Smith, J.; Chanemougame, D.; Gutwin, P. CFET Design Options, Challenges, and Opportunities for 3D Integration. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 13–15 December 2021; pp. 3.1.1–3.1.4. [Google Scholar] [CrossRef]
  54. Bury, E.; Kaczer, B.; Chuang, K.; Franco, J.; Weckx, P.; Chasin, A.; Simicic, M.; Linten, D.; Groeseneken, G. Statistical assessment of the full VG/VD degradation space using dedicated device arrays. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. 2D–5.1–2D–5.6. [Google Scholar] [CrossRef]
  55. Grill, A.; Bury, E.; Michl, J.; Tyaginov, S.; Linten, D.; Grasser, T.; Parvais, B.; Kaczer, B.; Waltl, M.; Radu, I. Reliability and Variability of Advanced CMOS Devices at Cryogenic Temperatures. In Proceedings of the International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 5C.3.1–5C.3.1.6. [Google Scholar]
  56. Krick, J.; Lenahan, P.; Dunn, G. Direct observation of interfacial point defects generated by channel hot hole injection in nchannel metal oxide silicon field effect transistors. Appl. Phys. Lett. 1991, 59, 3437–3439. [Google Scholar] [CrossRef]
  57. Billman, C.; Lenahan, P.; Weber, W. Identification of the Microscopic Structure of New Hot Carrier Damage in Short Channel MOSFETs. Microelectron. Eng. 1997, 36, 271–272. [Google Scholar] [CrossRef]
  58. Lenahan, P. Atomic Scale Defects Involved in MOS Reliability Problems. Microelectron. Eng. 2003, 69, 173–181. [Google Scholar] [CrossRef]
  59. Helms, C.R.; Poindexter, E.H. The Silicon-Silicon Dioxide System: Its Microstructure and Imperfections. Rep. Prog. Phys. 1994, 57, 791. [Google Scholar] [CrossRef]
  60. Stesmans, A. Passivation of Pb0 and Pb1 Interface Defects in Thermal (100) Si/SiO2 with Molecular Hydrogen. Appl. Phys. Lett. 1996, 68, 2076–2078. [Google Scholar] [CrossRef]
  61. Pobegen, G.; Tyaginov, S.; Nelhiebel, M.; Grasser, T. Observation of Normally Distributed Activation Energies for the Recovery from Hot Carrier Damage. IEEE Electron Device Lett. 2013, 34, 939–941. [Google Scholar] [CrossRef]
  62. de Jong, M.J.; Salm, C.; Schmitz, J. Towards Understanding Recovery of Hot-Carrier Induced Degradation. Microelectron. Reliab. 2018, 88–90, 147–151. [Google Scholar] [CrossRef]
  63. de Jong, M.J.; Salm, C.; Schmitz, J. Effect of Ambient on the Recovery of Hot-Carrier Degraded Devices. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 1–6. [Google Scholar]
  64. Vandemaele, M.; Chuang, K.H.; Bury, E.; Tyaginov, S.; Groeseneken, G.; Kaczer, B. The Influence of Gate Bias on the Anneal of Hot-Carrier Degradation. In Proceedings of the International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 5A.3.1–5A.3.7. [Google Scholar] [CrossRef]
  65. Reisinger, H.; Blank, O.; Heinrigs, W.; Muhlhoff, A.; Gustin, W.; Schlunder, C. Analysis of NBTI Degradation- and Recovery-Behavior Based on Ultra Fast VT-Measurements. In Proceedings of the 2006 IEEE International Reliability Physics Symposium, San Jose, CA, USA, 26–30 March 2006; pp. 448–453. [Google Scholar] [CrossRef]
  66. Grasser, T.; Kaczer, B.; Gös, W.; Reisinger, H.; Aichinger, T.; Hehenberger, P.; Wagner, P.J.; Franco, J.; Toledano-Luque, M.; Nelhiebel, M. The Paradigm Shift in Understanding the Bias Temperature Instability: From Reaction-Diffusion to Switching Oxide Traps. IEEE Trans. Electron Devices 2011, 58, 3652–3666. [Google Scholar] [CrossRef]
  67. Grasser, T.; Kaczer, B.; Goes, W.; Reisinger, H.; Aichinger, T.; Hehenberger, P.; Wagner, P.J.; Schanowsky, F.; Franco, J.; Roussel, P.; et al. Recent Advances in Understanding the Bias Temperature Instability. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 6–8 December 2010; pp. 82–85. [Google Scholar]
  68. Bravaix, A.; Guerin, C.; Huard, V.; Roy, D.; Roux, J.; Vincent, E. Hot-carrier Acceleration Factors for Low Power Management in DC-AC Stressed 40 nm NMOS Node at High Temperature. In Proceedings of the International Reliability Physics Symposium (IRPS), Montreal, QC, Canada, 26–30 April 2009; pp. 531–546. [Google Scholar] [CrossRef]
  69. Hess, K.; Register, L.; Tuttle, B.; Lyding, J.; Kizilyalli, I. Impact of Nanostructure Research on Conventional Solid-State Electronics: The Giant Isotope Effect in Hydrogen Desorption and CMOS Lifetime. Physica E 1998, 3, 1–7. [Google Scholar] [CrossRef]
  70. Sharma, P.; Tyaginov, S.; Jech, M.; Wimmer, Y.; Rudolf, F.; Enichlmair, H.; Park, J.M.; Ceric, H.; Grasser, T. The role of cold carriers and the multiple-carrier process of Si–H bond dissociation for hot-carrier degradation in n- and p-channel {LDMOS} devices. Solid State Electron. 2016, 115 Pt B, 185–191. [Google Scholar] [CrossRef]
  71. Tallarico, A.N.; Reggiani, S.; Depetro, R.; Torti, A.M.; Croce, G.; Sangiorgi, E.; Fiegna, C. Hot-Carrier Degradation in Power LDMOS: Selective LOCOS- Versus STI-Based Architecture. IEEE J. Electron Devices Soc. 2018, 6, 219–226. [Google Scholar] [CrossRef]
  72. Kerber, A.; Nigam, T.; Paliwoda, P.; Guarin, F. Reliability Characterization of Ring Oscillator Circuits for Advanced CMOS Technologies. IEEE Trans. Device Mater. Reliab. 2020, 20, 230–241. [Google Scholar] [CrossRef]
  73. Bravaix, A.; Huard, V.; Goguenheim, D.; Vincent, E. Hot-Carrier to Cold-Carrier Device Lifetime Modeling with Temperature for Low power 40 nm Si-Bulk NMOS and PMOS FETs. In Proceedings of the International Electron Devices Meeting (IEDM), Washington, DC, USA, 5–7 December 2011; pp. 622–625. [Google Scholar]
  74. Bina, M.; Tyaginov, S.; Franco, J.; Rupp, K.; Wimmer, Y.; Osinstev, D.; Kaczer, B.; Grasser, T. Predictive Hot-Carrier Modeling of n-channel MOSFETs. IEEE Trans. Electron Devices 2014, 61, 3103–3110. [Google Scholar] [CrossRef]
  75. Varghese, D.; Alam, M.A.; Weir, B. A generalized, IB-independent, physical HCI lifetime projection methodology based on universality of hot-carrier degradation. In Proceedings of the 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, USA, 2–6 May 2010; pp. 1091–1094. [Google Scholar] [CrossRef]
  76. Yamagata, M.; Satoh, T.; Tango, H. Hot-Carrier-Induced Degradation under Current Saturation Bias in p-Channel Low-Temperature Polycrystalline Silicon Thin-Film Transistors. JPN J. Appl. Phys. 2007, 46, 5044. [Google Scholar] [CrossRef]
  77. Makarov, A.; Tyaginov, S.E.; Kaczer, B.; Jech, M.; Chasin, A.; Grill, A.; Hellings, G.; Vexler, M.I.; Linten, D.; Grasser, T. Hot-Carrier Degradation in FinFETs: Modeling, Peculiarities, and Impact of Device Topology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 13.1.1–13.1.4. [Google Scholar] [CrossRef]
  78. Tyaginov, S.; Jech, M.; Franco, J.; Sharma, P.; Kaczer, B.; Grasser, T. Understanding and Modeling the Temperature Behavior of Hot-Carrier Degradation in SiON nMOSFETs. IEEE Electron Device Lett. 2016, 37, 84–87. [Google Scholar] [CrossRef]
  79. McMahon, W.; Haggag, A.; Hess, K. Reliability Scaling Issues for Nanoscale Devices. IEEE Trans. Nanotech. 2003, 2, 33–38. [Google Scholar] [CrossRef]
  80. Haggag, A.; Lemanski, M.; Anderson, G.; Abramowitz, P.; Moosa, M. Realistic Projections of Product Fmax Shift and Statistics due to HCI and NBTI. In Proceedings of the 2007 IEEE 45th Annual International Reliability Physics Symposium, Phoenix, AZ, USA, 15–19 April 2007; pp. 93–96. [Google Scholar] [CrossRef]
  81. ViennaSHE. 2014. Available online: http://viennashe.sourceforge.net/ (accessed on 5 October 2023).
  82. Rupp, K.; Jungel, A.; Grasser, T. Matrix compression for spherical harmonics expansions of the Boltzmann transport equation for semiconductors. J. Comput. Phys. 2010, 229, 8750–8765. [Google Scholar] [CrossRef]
  83. Rupp, K.; Grasser, T.; Jüngel, A. On the Feasibility of Spherical Harmonics Expansions of the Boltzmann Transport Equation for Three-Dimensional Device Geometries. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; pp. 34.1.1–34.1.4. [Google Scholar] [CrossRef]
  84. Rupp, K.; Jungemann, C.; Hong, S.M.; Bina, M.; Grasser, T.; Jüngel, A. A Review of Recent Advances in the Spherical Harmonics Expansion Method for Semiconductor Device Simulation. J. Comput. Electron. 2016, 15, 939–958. [Google Scholar] [CrossRef]
  85. Gnudi, A.; Ventura, D.; Baccarani, G. One-Dimensional Simulation of a Bipolar Transistor by means of Spherical Harmonics Expansion of the Boltzmann Transport Equation. In Proceedings of the Simulation of Semiconductor Devices and Processes (SISDEP), Zurich, Switzerland, 12–14 September 1991; Volume 4, pp. 205–213. [Google Scholar]
  86. Hong, S.M.; Jungemann, C. A fully coupled scheme for a Boltzmann-Poisson equation solver based on a spherical harmonics expansion. J. Comput. Electron. 2009, 8, 225–241. [Google Scholar] [CrossRef]
  87. Hong, S.M.; Pham, A.; Jungemann, C. Deterministic Solvers for the Boltzmann Transport Equation; Springer: Vienna, Austria, 2011. [Google Scholar]
  88. Grasser, T.; Kosina, H.; Heitzinger, C.; Selberherr, S. Accurate Impact Ionization Model which Accounts for Hot and Cold Carrier Populations. Appl. Phys. Lett. 2002, 80, 613–615. [Google Scholar] [CrossRef]
  89. Selmi, L.; Sangiorgi, E.; Bez, R.; Ricco, B. Measurement of the hot hole injection probability from Si into SiO2 in p-MOSFETs. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 5–8 December 1993; pp. 333–336. [Google Scholar] [CrossRef]
  90. Tyaginov, S.; Bina, M.; Franco, J.; Wimmer, Y.; Osintsev, D.; Kaczer, B.; Grasser, T. A Predictive Physical Model for Hot-Carrier Degradation in Ultra-Scaled MOSFETs. In Proceedings of the Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama, Japan, 9–11 September 2014; pp. 89–92. [Google Scholar]
  91. Vecchi, M.C.; Rudan, M. Modeling electron and hole transport with full-band structure effects by means of the Spherical-Harmonics Expansion of the BTE. IEEE Trans. Electron Devices 1998, 45, 230–238. [Google Scholar] [CrossRef]
  92. Fischetti, M.V.; Yoder, P.D.; Khatami, M.M.; Gaddemane, G.; Van de Put, M.L. “Hot Electrons in Si Lose Energy Mostly to Optical Phonons”: Truth or Myth? Appl. Phys. Lett. 2019, 114, 222104. [Google Scholar] [CrossRef]
  93. Tandon, N.; Albrecht, J.D.; Ram-Mohan, L.R. Electron-Phonon Interaction and Scattering in Si and Ge: Implications for Phonon Engineering. J. Appl. Phys. 2015, 118, 045713. [Google Scholar] [CrossRef]
  94. Qiu, B.; Tian, Z.; Vallabhaneni, A.; Liao, B.; Mendoza, J.M.; Restrepo, O.D.; Ruan, X.; Chen, G. First-Principles Simulation of Electron Mean-Free-Path Spectra and Thermoelectric Properties in Silicon. Europhys. Lett. 2015, 109, 57006. [Google Scholar] [CrossRef]
  95. Jungemann, C.; Meinerzhagen, B. Hierarchical Device Simulation; Springer: Wien, Austria, 2003. [Google Scholar]
  96. Jacoboni, C.; Lugli, P. The Monte Carlo Method for Semiconductor Device Simulation; Springer: Wien, Austria, 1989. [Google Scholar]
  97. Sze, S.; Ng, K. Physics of Semiconductor Devices; Wiley-Interscience: Hoboken, NJ, USA, 2007. [Google Scholar]
  98. Leblebici, Y.; Kang, S.M. Modeling of nMOS Transistors for Simulation of Hot-Carrier Induced Device and Circuit Degradation. IEEE Trans. Comput. Aided Des. 1992, 11, 235–246. [Google Scholar] [CrossRef]
  99. Acovic, A.; Rosa, G.L.; Sun, Y. A Review of Hot Carrier Degradation Mechanism in MOSFETs. Microel. Reliab. 1996, 36, 845–869. [Google Scholar] [CrossRef]
  100. Ancona, M.G.; Saks, N.S.; McCarthy, D. Lateral distribution of hot-carrier-induced interface traps in MOSFETs. IEEE Trans. Electron Devices 1988, 35, 2221–2228. [Google Scholar] [CrossRef]
  101. Varghese, D.; Kufluoglu, H.; Reddy, V.; Shichijo, H.; Krishnan, S.; Alam, M.A. Universality of Off-State Degradation in Drain Extended NMOS Transistors. In Proceedings of the 2006 International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 1–4. [Google Scholar] [CrossRef]
Figure 1. Experimental and calculated  Δ I d , lin ( t )  traces for the WCC of HCD with  V gs  =  V ds  = 1.8, 1.9, and 2.0 V. To analyze the role of secondary holes also  Δ I d , lin ( t )  traces obtained without their contribution were evaluated. We use the energy loss parameter of  δ E  = 28 meV, but the model results are very sensitive to its variations (see Figures 10 and 13 for the impact of the energy loss parameter) and therefore  Δ I d , lin ( t )  curves for  δ E  = 35 and 43 meV are also shown.
Figure 1. Experimental and calculated  Δ I d , lin ( t )  traces for the WCC of HCD with  V gs  =  V ds  = 1.8, 1.9, and 2.0 V. To analyze the role of secondary holes also  Δ I d , lin ( t )  traces obtained without their contribution were evaluated. We use the energy loss parameter of  δ E  = 28 meV, but the model results are very sensitive to its variations (see Figures 10 and 13 for the impact of the energy loss parameter) and therefore  Δ I d , lin ( t )  curves for  δ E  = 35 and 43 meV are also shown.
Micromachines 14 02018 g001
Figure 2. The same as in Figure 1 but for  V gs  = 1.0 V and  V ds  = 1.9, 2.0, and 2.1 V.
Figure 2. The same as in Figure 1 but for  V gs  = 1.0 V and  V ds  = 1.9, 2.0, and 2.1 V.
Micromachines 14 02018 g002
Figure 3. The same as in Figure 1 but for  V gs  = 0.69 V and  V ds  = 1.8, 1.9, and 2.0 V.
Figure 3. The same as in Figure 1 but for  V gs  = 0.69 V and  V ds  = 1.8, 1.9, and 2.0 V.
Micromachines 14 02018 g003
Figure 4. Schematic representation of the device used to validate the model. The device source is at  x = 0 . Primary carriers, which drive impact ionization, are electrons. Secondary holes generated by impact ionization near the drain are accelerated towards the source where they reach highest energies and provide the most significant contribution to HCD.
Figure 4. Schematic representation of the device used to validate the model. The device source is at  x = 0 . Primary carriers, which drive impact ionization, are electrons. Secondary holes generated by impact ionization near the drain are accelerated towards the source where they reach highest energies and provide the most significant contribution to HCD.
Micromachines 14 02018 g004
Figure 5. Average energy of primary electrons  E e  as a function of the lateral coordinate x along the  Si / SiO 2  interface of an n-channel MOSFET with a gate length of 28 nm (x = 0 nm corresponds to the source). Shown are two  E e ( x )  profiles: one obtained with the DD-based approach and another one calculated employing the BTE solution with the carrier transport simulator ViennaSHE. This comparison illustrates that the DD based approach to estimation of average carrier energy, and further to HCD modeling, is not applicable.
Figure 5. Average energy of primary electrons  E e  as a function of the lateral coordinate x along the  Si / SiO 2  interface of an n-channel MOSFET with a gate length of 28 nm (x = 0 nm corresponds to the source). Shown are two  E e ( x )  profiles: one obtained with the DD-based approach and another one calculated employing the BTE solution with the carrier transport simulator ViennaSHE. This comparison illustrates that the DD based approach to estimation of average carrier energy, and further to HCD modeling, is not applicable.
Micromachines 14 02018 g005
Figure 6. In the refined CPM for HCD, the average energy of electrons is determined by carrier acceleration by the electric field and energy dissipation due to scattering. Energy gained from the electric field is evaluated based on the band bending profile in the transport direction. We assume that when an electron travels a distance equal to its mean free path ( λ ) it loses a certain amount of energy  δ E .
Figure 6. In the refined CPM for HCD, the average energy of electrons is determined by carrier acceleration by the electric field and energy dissipation due to scattering. Energy gained from the electric field is evaluated based on the band bending profile in the transport direction. We assume that when an electron travels a distance equal to its mean free path ( λ ) it loses a certain amount of energy  δ E .
Micromachines 14 02018 g006
Figure 7. Average energy of primary electrons vs. the lateral coordinate x obtained with the refined CPM and compared with that evaluated using the DD based approach.
Figure 7. Average energy of primary electrons vs. the lateral coordinate x obtained with the refined CPM and compared with that evaluated using the DD based approach.
Micromachines 14 02018 g007
Figure 8. Generalized distribution functions of primary electrons obtained with the refined CPM for different positions along the  Si / SiO 2  interface.
Figure 8. Generalized distribution functions of primary electrons obtained with the refined CPM for different positions along the  Si / SiO 2  interface.
Micromachines 14 02018 g008
Figure 9. The impact ionization rate  G II  as a function of x calculated with the refined CPM and the DD based formula (the latter approach overestimates  G II ).
Figure 9. The impact ionization rate  G II  as a function of x calculated with the refined CPM and the DD based formula (the latter approach overestimates  G II ).
Micromachines 14 02018 g009
Figure 10. The II rate  G II  vs. the coordinate x obtained for three different values of the energy loss parameter  δ E  = 28, 35, and 42 meV.
Figure 10. The II rate  G II  vs. the coordinate x obtained for three different values of the energy loss parameter  δ E  = 28, 35, and 42 meV.
Micromachines 14 02018 g010
Figure 11. Schematic representation of the hole flux balance equation. For each transistor slice with an index i (for holes the slice enumeration begins at the drain, where the holes are predominantly created by II, i.e., i increases towards the source) a balance between hole supply and hole loss is considered. The hole supply component is due to holes arriving from the previous slice  i 1  (the hole flux  J h , i 1 in ) and impact ionization (with the rate  G II ), while hole loss is due to hole departure to the slice  i + 1  ( J h , i out ) and recombination (with the rate R). The slice length is designated as  l s .
Figure 11. Schematic representation of the hole flux balance equation. For each transistor slice with an index i (for holes the slice enumeration begins at the drain, where the holes are predominantly created by II, i.e., i increases towards the source) a balance between hole supply and hole loss is considered. The hole supply component is due to holes arriving from the previous slice  i 1  (the hole flux  J h , i 1 in ) and impact ionization (with the rate  G II ), while hole loss is due to hole departure to the slice  i + 1  ( J h , i out ) and recombination (with the rate R). The slice length is designated as  l s .
Micromachines 14 02018 g011
Figure 12. Generalized DFs for secondary holes calculated with the refined compact physics model and plotted for different positions at the  Si / SiO 2  interface.
Figure 12. Generalized DFs for secondary holes calculated with the refined compact physics model and plotted for different positions at the  Si / SiO 2  interface.
Micromachines 14 02018 g012
Figure 13. Impact of the energy loss parameter  δ E  on the secondary hole DFs. At larger  δ E  both types of carriers are colder and this trend is confirmed by the hole DFs.
Figure 13. Impact of the energy loss parameter  δ E  on the secondary hole DFs. At larger  δ E  both types of carriers are colder and this trend is confirmed by the hole DFs.
Micromachines 14 02018 g013
Figure 14. Comparison of hole DFs obtained with refined carrier transport treatment and using the DD based model. In the latter case the DFs have spuriously high values.
Figure 14. Comparison of hole DFs obtained with refined carrier transport treatment and using the DD based model. In the latter case the DFs have spuriously high values.
Micromachines 14 02018 g014
Figure 15. The interface trap density  N it  as a function of the coordinate along the interface x for  V gs  = 1.0 V and two values of  V ds  = 1.9 and 2.1 V calculated with and without the contribution of secondary holes. One can see that the hole contribution results in the secondary  N it  peak situated near the source, and this peak becomes more pronounced at higher  V ds .
Figure 15. The interface trap density  N it  as a function of the coordinate along the interface x for  V gs  = 1.0 V and two values of  V ds  = 1.9 and 2.1 V calculated with and without the contribution of secondary holes. One can see that the hole contribution results in the secondary  N it  peak situated near the source, and this peak becomes more pronounced at higher  V ds .
Micromachines 14 02018 g015
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Tyaginov, S.; Bury, E.; Grill, A.; Yu, Z.; Makarov, A.; De Keersgieter, A.; Vexler, M.; Vandemaele, M.; Wang, R.; Spessot, A.; et al. Compact Physics Hot-Carrier Degradation Model Valid over a Wide Bias Range. Micromachines 2023, 14, 2018. https://doi.org/10.3390/mi14112018

AMA Style

Tyaginov S, Bury E, Grill A, Yu Z, Makarov A, De Keersgieter A, Vexler M, Vandemaele M, Wang R, Spessot A, et al. Compact Physics Hot-Carrier Degradation Model Valid over a Wide Bias Range. Micromachines. 2023; 14(11):2018. https://doi.org/10.3390/mi14112018

Chicago/Turabian Style

Tyaginov, Stanislav, Erik Bury, Alexander Grill, Zhuoqing Yu, Alexander Makarov, An De Keersgieter, Mikhail Vexler, Michiel Vandemaele, Runsheng Wang, Alessio Spessot, and et al. 2023. "Compact Physics Hot-Carrier Degradation Model Valid over a Wide Bias Range" Micromachines 14, no. 11: 2018. https://doi.org/10.3390/mi14112018

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop