Next Article in Journal
Enhanced Performance of Perovskite Light-Emitting Diodes via Phenylmethylamine Passivation
Next Article in Special Issue
Combined Effect of TID Radiation and Electrical Stress on NMOSFETs
Previous Article in Journal
Recent Advances in Tracking Devices for Biomedical Ultrasound Imaging Applications
Previous Article in Special Issue
Thermo-Mechanical Reliability Study of Through Glass Vias in 3D Interconnection
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Comprehensive Assessments in Bonding Energy of Plasma Assisted Si-SiO2 Direct Wafer Bonding after Low Temperature Rapid Thermal Annealing

1
Applied Physics Lab for Plasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Korea
2
Advanced Components Research Lab, SEMES, Hwaseong-si 18383, Korea
3
TP Advanced Equipment Group, SEMES, Cheonan-si 31040, Korea
4
Institute of Quantum Systems (IQS), Department of Physics, Chungnam National University, Daejeon 34134, Korea
*
Author to whom correspondence should be addressed.
Micromachines 2022, 13(11), 1856; https://doi.org/10.3390/mi13111856
Submission received: 24 September 2022 / Revised: 24 October 2022 / Accepted: 27 October 2022 / Published: 29 October 2022
(This article belongs to the Special Issue Advanced Packaging for Microsystem Applications)

Abstract

:
Direct wafer bonding is one of the most attractive techniques for next-generation semiconductor devices, and plasma has been playing an indispensable role in the wider adoption of the wafer bonding technique by lowering its process temperature. Although numerous studies on plasma-assisted direct wafer bonding have been reported, there is still a lack of deep investigations focusing on the plasma itself. Other than the plasma surface treatment, the wafer bonding process includes multiple steps such as surface cleaning and annealing that require comprehensive studies to maximize the bonding strengths. In this work, we evaluate the various process steps of Si-SiO2 wafer bonding through case-by-case experimental studies, covering factors including the plasma conditions for surface treatment and secondary factors such as the time intervals between some process steps. The results show that plasma treatment with increasing input power has a trade-off between bonding strengths and interfacial voids, requiring the optimization of the plasma conditions. It is also noticeable that the effects of plasma treatment on wafer bonding can be improved when the plasma-treated wafers are stored in ambient atmosphere before the subsequent process step, which may suggest that wafer exposure to air during the bonding process is advantageous compared to processing entirely in vacuum. The results are expected to allow plasma-assisted direct wafer bonding technology to play a bigger role in the packaging process of semiconductor device manufacturing.

1. Introduction

Recently, semiconductor packaging technology has attracted great interest for the manufacturing of state-of-the-art semiconductor devices to meet market demands including faster operation speeds and higher functional densities of semiconductor chips [1,2]. The advanced packaging technology, including fan-out wafer level packaging and through-silicon via, allows for three-dimensional (3D) device integration that leads to improved device performance and miniaturized system electronics [3,4,5]. Direct wafer bonding is one of the most essential techniques to build stack-up structures of manufactured semiconductor chips in the packaging process [6,7,8]. Both homogeneous and heterogeneous integrations between diverse semiconductor materials and Si substrates can be achieved via the direct wafer bonding technique, enabling numerous applications such as silicon-on-insulator fabrication and silicon-based microelectromechanical device manufacturing to be more widely employed [9,10,11].
As its name denotes, direct wafer bonding refers to a technique that creates a wafer pair in which the surfaces of two wafers adhere to each other without any additional intermediate layers, which is an advantage in terms of the throughput and accuracy of 3D integration [7]. There have been numerous reports on direct wafer bonding considering target materials, procedures, etc., since the early 1960s when high-temperature (>800 °C) annealing was often employed to achieve sufficient bonding strengths [12,13]. Nowadays, plasma-assisted wafer bonding has emerged as a new trend since plasma treatment allows the wafer bonding process to be conducted without high-temperature annealing that involves several serious disadvantages such as device reliability and manufacturing yield [12,13,14,15]. Plasma-assisted wafer bonding with low temperature (~300 °C) annealing yields bonding strengths equivalent to those obtained in the conventional way of high temperature annealing [16,17].
Despite this remarkable advantage though, few previous reports have focused on the plasma itself in plasma-assisted wafer bonding. Several studies have shown experimental evidence of the effects of plasma treatment on bonding strengths, but most experiments were conducted with insufficient details of the plasma characteristics [14,15,18,19,20]. Since the physical and chemical properties of plasmas are significantly dependent on the environment, it is important to optimize not only the processing plasma in plasma-assisted wafer bonding to maximize the effects of the plasma treatment but also the other process steps including surface cleaning and annealing.
In this work, we conduct comprehensive assessments of the wafer bonding process where multiple individual steps should be carefully controlled. The investigation is especially focused on the plasma treatment step, which is shown in this work to have the greatest effect on the bonding strength. Detailed descriptions of the investigation are as follows. In Section 2, the experimental setup of the plasma treatment chamber and RTA are described, and in Section 3, the assessment results of each step of the wafer bonding process are presented. Concluding remarks follow in Section 4.

2. Experimental

2.1. Plasma Treatment

Plasma treatment is conducted in a capacitively coupled plasma chamber that has a cylindrical geometry with a diameter of 340 mm and a height of 145 mm. With N2 as a reference gas, Ar and O2 are also used for investigation of the effects of different plasmas on bonding strengths, as discussed in Section 3.2.1. Wafers are loaded on the bottom electrode with a height of 65 mm and a radius of 335 mm where radiofrequency (RF) powers are applied, while the top grounded electrode, which acts as a showerhead as well, faces the bottom electrode at a distance of 50 mm. Further details of the plasma system are described in our previous reports [21,22,23]. Prior to plasma ignition, the chamber is evacuated by a turbomolecular pump for 5 min, resulting in a pressure on the order of 10−5 Torr. Mass flow controllers inject processing gases into the chamber at fixed flow rates, and a throttle valve maintains a constant chamber pressure during the plasma treatment. The door-to-door time for the plasma treatment of one wafer from wafer loading to chamber venting is approximately 15 min.

2.2. DIW Cleaning

Plasma-treated wafers are transferred to a clean room at ambient atmosphere at 23 °C with RH 43%. To remove contaminants such as dust on the wafer surfaces, DIW cleaning is conducted using a spinning vacuum chuck with a diameter of 300 mm on which wafers are strongly held by a diaphragm pump. DIW is applied on rotating wafers through the nozzle of a wash bottle, followed by a spin-drying step to remove the DIW on the wafer surfaces.

2.3. Prebonding

Cleaned and dried wafers are transferred to an apparatus, named the prebonder, to create a ‘prebond’ between the surfaces of two plasma-treated wafers prior to the annealing process. A Si wafer is first put on the stage of the prebonder with its plasma-treated surface facing up, and then a SiO2 wafer that is flipped so that its plasma-treated surface faces down is put onto the Si wafer, producing a wafer pair where two plasma-treated surfaces are mated to each other. The contact between the mating surfaces is strengthened by applying a weak local pressure to the center of the wafer pair by finger for tens of seconds and then to the entire area for one minute for stronger van der Waals adhesion before annealing.

2.4. Annealing

Wafer pairs that have passed through plasma treatment, DIW cleaning, and prebonding are annealed in the RTA, which has a square geometry with an inner width and length of 318 mm. Wafer pairs are loaded into the chamber with a loadlock that is made of a quartz ring with an inner and outer diameter of 290 and 305 mm, respectively. Halogen lamps heat the chamber by infrared radiation through a 16 mm thick quartz plate, increasing the temperature up to near 400 °C, which is monitored with a K-type thermocouple gauge laterally distant from the edge of the quartz holder by 5 mm. The annealing time was determined by comparing the bonding strengths from different annealing periods, as mentioned in Section 3.5. After wafer pairs turn into bonded wafers via annealing, they are taken out of the RTA to ambient atmosphere when the RTA temperature reaches under 80 °C after cooling down for 20 min. The wafer bonding process is completed with annealing as the final step.

2.5. DCB Evaluation

The DCB method suggested by Maszara et al. is employed to evaluate the bonding strengths of the bonded wafers [24]. Wafers are loaded on the vacuum stage of the DCB equipment to prevent them from being pushed backward during the evaluations. A blade with a thickness of 5 mm, which is controlled by an electrical motor, pierces cracks in the interfaces of the bonded wafers, penetrating 5 mm in depth. The cracks in the bonded interface from the blade insertion appear in 1200 nm infrared (IR) images as dark segments in the wafer circle. The maximum width of the segments is obtained from the IR images in the unit of mm, followed by conversion to bonding strength in units of J/m2 via the Maszara formula, which is given by [25]
γ = 3 E t 3   y 3 / ( 8 L 4 )
where γ is the specific surface energy in ergs/cm2, 2y and L are the crack separation and length, respectively, t is the thickness of the wafer, and E is the modulus of elasticity in Gpa. Note that the surface energy is referred to as the bonding strength in this paper.

2.6. Characterization

Optical emission spectroscopy (OES) is employed to characterize the vacuum condition prior to plasma treatment. An optical fiber is mounted laterally on one of the chamber ports and monitors the inside of the chamber through a quartz window. The detected optical signals are transferred through the optical fiber to a spectrometer (QEPRO-FL, Ocean Insight, Orlando, FL, USA). The electron density is measured with a cutoff probe, of which reliability has been proven in several reports [26,27,28,29,30,31]. Details of the probe geometry are also introduced in a previous report [32]. One cutoff probe is inserted into the vacuum chamber through a feedthrough of another chamber port and is connected to a network analyzer (S33601B, Saluki Technology, Taipei, Taiwan). The self-bias voltage is obtained with a high-voltage probe (P5100, Tektronix, Beaverton, OR, USA) that measures the voltage in the middle of the power feeding copper rod between the impedance matcher and the powered electrode.

3. Results and Discussion

The sequence of the bonding process employed in this work is illustrated in Figure 1. We use Si and SiO2 wafers with a diameter of 300 mm, which are among the most widely used wafers in semiconductor device manufacturing. One representative surface analysis result on Si and SiO2 surfaces is presented in Figure 1. We assume that the status of the Si and SiO2 wafers at each bonding trial is almost identical. Their roughness is measured by atomic force microscopy (XE-120, Park Systems, Suwon, Korea). Starting with plasma treatment on the wafer surfaces, deionized water (DIW) cleaning follows to remove contaminants such as dust from the wafer surfaces before putting them together. The prebonding step in Figure 2 refers to a process where two plasma-treated and DIW cleaned wafers are completely overlapped with the to-be-bonded surfaces mated to each other, which are kept in contact by attractive van der Waals interactions at the interface. The prebonded wafer pairs are then transferred to rapid thermal annealing equipment (RTA) and annealed to form siloxane (Si-O-Si) bonds at the interface between the wafers, creating a newly fused interlayer [33]. The resulting bonding strengths are evaluated with a double cantilever beam (DCB) method [25]. It should be noted that the above process steps proceed in ambient atmosphere except the plasma surface treatment and annealing steps.
Prior to process assessment, reference conditions throughout the wafer bonding process that provide a good reproducibility from repeated operations should be determined. Figure 3 plots the results of an examination for the reference setup conducted under the conditions listed in Table 1. Note that the bonding strengths, also referred to as surface energy or bond energy, are typically given in the physical unit of J/m2, but in this work they are normalized to the bonding strength with no plasma treatment. The error bars in the figures indicate the standard deviation of the bonding strengths measured at the cardinal points on each wafer pair at every trial. Reproducibility is assessed with a statistical approach to the DCB results from six trials of wafer bonding all at the reference condition, and the results show an averaged normalized bonding strength of 2.34 with a standard deviation of 0.04 (approximately 2%), which is considered to be acceptable. Thus, the conditions listed in Table 1 are set as the reference.
In this section, we provide the results of our process assessment. Following the order of the wafer bonding process illustrated in Figure 1, the results of the step before plasma treatment are given in Section 3.1, followed by a discussion on the results of the plasma treatment step in Section 3.2. Section 3.3 and Section 3.4 describe the results of the steps between the plasma treatment and prebonding and between prebonding and annealing, respectively. Finally, the results of the annealing step are presented in Section 3.5.

3.1. Before the Plasma Treatment Step

3.1.1. Surface Rinsing

Si-SiO2 wafer bonding is often referred to as a hydrophilic bonding due to its fundamental bonding mechanism [33]; when Si and SiO2 surfaces are placed together and then annealed, hydroxyl groups (–OH) on each surface are covalently bonded in a form technically termed siloxane bonds (Si-O-Si) [14]. This leads to one idea that a DIW rinsing of the wafer surfaces prior to plasma treatment might benefit the bonding by producing much denser hydroxyl groups on the plasma-treated wafer surfaces, since adsorbed H2O molecules could act as a source of hydroxyl groups. DIW rinsing here is conducted in the same manner as the DIW cleaning described above, and we note that only Si surfaces are rinsed while SiO2 surfaces are left as-received since a greater DIW rinsing effect is expected on Si over SiO2 surfaces due to the higher Si surface hydrophilicity [15]. Figure 4 shows the results of the investigation into the effects of DIW rinsing Si surfaces prior to plasma treatment. It can readily be seen that there is little difference between the bonding strengths with and without preceding DIW rinsing. Since the preceding DIW rinsing is found not to affect the bonding process as much as expected, the following experiments are conducted without the preceding DIW rinsing.

3.1.2. Moisture in Vacuum

As in the case of the preceding DIW rinsing, gas-phase H2O in the chamber is also expected to provide a higher surface OH density. To figure out the effects of the chamber H2O density, its changes with respect to evacuation times are first monitored via OES with Ar plasma under conditions of 70 sccm flow rate, 100 mTorr pressure, and 100 W RF power. The OES instrument used in this work provides the spectra of detected light in the wavelength range from 200 to 1200 nm. The wavelength of 309 nm has been reported to be emitted from the de-excitation of excited OH molecules, which are chosen in this work as an indicator for the amount of H2O molecules [34,35].
As plotted in Figure 5a, the OES results show that the 309 nm emission intensity exponentially decays as the evacuation proceeds with a decay constant of approximately 4.3 h. This reflects that H2O molecules in the chamber are sufficiently evacuated with 4 h pumping. Figure 5b plots the corresponding DCB results that show bonding strengths obtained with different evacuation times. It is seen that the plasma treatments with evacuation times over 4 h, which is considered to be long enough to pump most H2O molecules out of the chamber, produce bonding strengths that are in fact not much different from those obtained with evacuation times shorter than 4 h. This implies that the H2O existing in the chamber prior to plasma treatment barely affects the wafer bonding process. From this result, the following experiments are conducted with a 5 min evacuation time.

3.2. Plasma Treatment Step

3.2.1. Plasma Parameters

Since the effects of plasma treatment can significantly vary according to the properties of the plasma, we investigated changes in bonding strengths obtained under different plasma treatment conditions of pressure and power. Figure 6a shows the changes in bonding strength as the processing pressure increases. For the other conditions except pressure, the reference conditions of the plasma treatment step listed in Table 1 are employed. As shown in Figure 5a, an increase in the pressure leads to a slight decrease in the bonding strength, yet the changes are considered to be negligible, similar to those obtained with different evacuation times.
To interpret this behavior, plasma diagnostics on changes in the electron density and self-bias voltage are conducted. Figure 6b plots the plasma diagnostic results, showing that both the measured electron densities and self-bias voltages slightly decrease with pressure, which implies a decrease in the ion energy flux bombarding the treated wafers. Plach et al. reported that the key role of plasma treatment in wafer bonding is in activating spaces beneath the bonded interface since these spaces act as a water source during bonding, which is advantageous to the formation of siloxane groups in the interface [14]. Thus, the decrease in bonding strength with increasing pressure may be attributed to the decrease in ion energy flux that has a reduced effect on the subsurface regions.
The above explanation is also applied to the changes in bonding strength with RF power variation. Figure 7a shows the changes in bonding strength with an increase in RF power. Unlike the case of the pressure variation, the bonding strength increases as the RF power increases. This can be elucidated with the plasma diagnostic results shown in Figure 7b; the electron densities and self-bias potentials increase with increasing RF power, reflecting an increase in the ion energy flux. Thus, the increase in bonding strength with increasing RF power can be attributed to the increase in ion energy flux that has a greater effect on the subsurface regions, opposite to the trend with increasing pressure.
On the other hand, an unexpected trend is observed from employing Ar instead of N2 or O2 plasma. Figure 8a plots the bonding strength as a function of RF power with Ar and N2 plasmas. Note that the data with N2 plasma previously shown in Figure 7a are plotted in Figure 8a for ease of comparison. In the figure, it can be seen that when the wafers are treated with Ar plasma, the bonding strength actually decreases with increasing RF power, while O2 plasma treatment shows a similar trend as that of N2 plasma with slightly increased bonding strengths compared to those obtained with N2. Since N2 plasma treatment has a wide process window where the bonding strength barely changes with RF power, the assessments in this work are conducted with N2. Figure 8b shows IR images of bonded wafers before and after annealing with different gases of N2, O2, and Ar from different RF powers of 100 W and 300 W for plasma treatment at the 12 o’clock location. The IR images exhibit that Ar plasma treatment with increasing RF power from 100 W to 300 W creates significant annealing voids at the bonded interfaces, while no noticeable changes are detected with N2 and O2 plasma treatment (not shown). The large extent of the voids created by high-power Ar plasma treatment is attributed to decreasing bonding strength with increasing RF power. This may result from different energy transfer methods, where molecular ions in vibrational states release their energy to the wafer surface while monoatomic ions only transfer their energy via momentum transfer collisions with the surface. Such analysis, though, requires a rigorous investigation for verification.

3.2.2. Wafer-Selective Treatment

An investigation into cases where only one side of a Si-SiO2 wafer pair is treated with plasma, that is either the Si or the SiO2, is conducted to figure out which treatment is more effective. Figure 9a plots a comparison between three cases where both Si and SiO2, only Si, or only SiO2 wafers are treated (labelled as Si & SiO2, Si only, and SiO2 only in Figure 9a, respectively) with RF power variation. Note that the Si & SiO2 case is already shown in Figure 6a; it is plotted again here for ease of comparison. With a slight dependence on RF power variation in all cases, the wafer-selective approaches are found to result in remarkable behaviors in terms of the bonding strength; the Si & SiO2 case has lower bonding strengths than the SiO2 only case, which is an opposite trend to previous reports [14,15]. This disagreement may stem from the difference between the properties of the plasma employed in our work and in the references [14,15]. With a similar self-bias voltage as that in the current work, dual-frequency RF powers in the kHz range were applied to the references’ substrates under a similar pressure. We consider that RF power in the kHz range, which is lower than that used in this work, may produce an ion energy distribution with a wide asymmetric bimodal form where the low-energy population is larger than the high-energy population, resulting in an insufficient ion bombarding energy toward the wafer. Matching the driving frequency in the literature to ours or vice versa is therefore expected to resolve the disagreement.
As shown in Figure 9a, the Si & SiO2 and SiO2 only cases have increased bonding strengths approximately by a factor of two compared to the Si only case, which means that the plasma treatment on SiO2 wafers is much more effective than on Si wafers. The different dependence on plasma treatment between Si and SiO2 is also seen in Figure 9b. It is shown that a greater increase in the bonding strength by plasma treatment appears in a SiO2-SiO2 wafer pair than a Si-Si wafer pair, reflecting the dominant influence of plasma treatment on SiO2 over Si in Si-SiO2 wafer bonding. This is attributed to the higher porosity of bulk SiO2 that enables more water to be stored in the bulk, which strengthens the bonding by inducing a swelling of bulk Si, water stress corrosion, and so on [17,19,36].
A summary of the investigation into the plasma treatment step is as follows. Changes in the plasma parameters with pressure, power, and gas variations are seen to have significant influences on bonding strengths. While an increase in pressure leads to slightly decreased bonding strengths, an increase in RF power gives rise to increased strengths. As for the gas, replacing N2 with O2 shows a similar trend of increasing bonding strengths with increasing RF power, whereas a noticeable change in the dependence of bonding strengths on RF power is observed with Ar, where the bonding strengths rapidly decrease with increasing RF power.
Single-wafer plasma treatment approaches show that plasma treatment is more effective on SiO2 than Si surfaces. Notably, Si treatment in fact degrades the bonding strength, which is an opposite behavior to previous reports [14,15]. As discussed above, this disagreement is considered to stem from the difference between the energy of the plasma ions bombarding the wafer surfaces of our work and the previous reports.

3.3. Between Plasma Treatment and Prebonding

Besides the plasma treatment step of the wafer bonding process, factors between the plasma treatment and prebonding steps are also investigated. One of them is a process pause after plasma treatment, which is related to the improved wafer surface hydrophilicity by the plasma treatment. The process pause is investigated following a report that the benefits of plasma treatment in wafer bonding are based on an improvement in hydrophilicity, which reflects a higher silanol group density on wafer surfaces [15]. To evaluate this theory, the wafer bonding process is intentionally paused after the plasma treatment step, with the plasma-treated Si and SiO2 wafers temporarily stored in a clean room at ambient atmosphere for 5 days.
A comparison between no pause and 5-day pause cases is plotted in Figure 10a. The results show that the bonding strengths of the plasma-treated wafers following a 5-day pause are in fact higher than those with no pause. Water contact angle (WCA) measurements on the wafer surfaces right after and 5 days after plasma treatment are shown in Figure 10b. The results show that the WCAs on the wafer surfaces 5 days after plasma treatment are at a similar level as the original ones measured before plasma treatment, while the WCAs right after plasma treatment are nearly zero. These results reflect that the surface hydrophilicity improved by plasma treatment mostly deteriorates back to the original level.
The increase in the bonding strengths obtained by a processing pause of 5 days may be elucidated with the thickness of an oxide layer on Si. Figure 11 shows that plasma treatment with some storage time, sufficiently long for a saturated native oxide to form [37], leads to an increase in the oxide thickness on Si from 1.45 mm to 5.26 nm, while the thermal oxide thickness barely changes (not shown here). Since oxide layers are known to be more porous than Si, the growth of the new oxide layer induced by plasma treatment might assist the following bonding process, leading to the increase in the bonding strengths as plotted in Figure 10a.

3.4. Between Prebonding and Annealing

Another process pause is made between the prebonding and annealing steps to figure out the effects of a thin water layer at the interface between prebonded wafers after DIW cleaning. One previous study investigated water diffusion through the bonded interface from ambient atmosphere during storage in air [38], where in scanning acoustic microscopic images of an Si–Si interface, water diffusion from the edge to the center was observed at the interface between two Si wafers immersed in DIW. The gap-filling additional water was found to result in an intense increase in water voids after annealing. The time intervals investigated in the current work, however, do not show much difference between bonding strengths obtained with different pause periods of 0, 1, and 3 h, as shown in Figure 12. Furthermore, the reported water void increase is under the detection limit of the IR images of water voids in this work. Despite the previous report on additional water diffusion to the bonded interface, the time interval between prebonding and annealing is evaluated to have little influence on the bonding strength itself.

3.5. Annealing

Annealing is known as one of the most essential process steps in wafer bonding [14,25,33]. The early stages of Si-SiO2 bonding employed annealing at high temperatures over 800 °C to obtain a sufficient bonding strength [33]. Such a high-temperature annealing process, however, induced thermal damage in the wafers, which made it difficult for the early direct wafer bonding technique to be adopted [14]. This problem has been dealt with plasma treatment, as numerous studies have reported results of plasma-assisted wafer bonding processes at low temperatures (~300 °C) where sufficient bonding strengths are obtained [16,39]. The effects of annealing temperature and time are evaluated, and the results are shown in Figure 13a,b. Note that these evaluations are conducted with bare Si and SiO2 wafers, not plasma-treated. It can readily be seen that the annealing time and temperature do not significantly affect the bonding process, at least within the evaluated regimes.
Furthermore, wafer pair loading methods into the RTA are evaluated as another factor in the annealing step. While the reference method in this work is a SiO2(top)-Si(bottom) stack, the flipped one, Si(top)-SiO2(bottom), and a mixed way where the reference stack for half of the annealing period is flipped for the rest of the period are evaluated with plasma-treated Si and SiO2 wafers under the reference condition. As plotted in Figure 14, the mixed way provides the highest bonding strength among the three. Considering the annealing method where heat is transferred from the halogen lamps at the top of the RTA to the wafer pair underneath a thick quartz plate, it is reasonable that the top wafer of the pair closer to the quartz plate receives more heat than the bottom wafer, and thus the half-and-half annealing method by flipping the wafer pair during a temporary pause in the middle of annealing results in higher bonding strengths, likely due to more effective thermal activation from the equal provision of heat to both wafers.

4. Conclusions

Despite the importance of the role of plasma treatment in wafer bonding processes, studies on the plasma itself in plasma-assisted wafer bonding processes, to our knowledge, still lack basic experimental data such as the effects of the variation of plasma condition parameters on bonding strengths. In this work, comprehensive experimental studies on the plasma parameters as well as the plasma environment were demonstrated. The key findings of this study are as follows. (i) While the density of water molecules or hydroxyl groups in the vacuum chamber for plasma treatment barely affects the resulting bonding strength, that in ambient air improves bonding strengths. (ii) Plasma treatment dominantly affects the bonding strengths among the other steps in the wafer bonding process, and in particular, the ion bombardment energy toward the wafers has a significant influence on bonding strengths. (iii) In Si-SiO2 bonding, plasma treatment on the Si surface in fact deteriorates the bonding strength. (iv) Plasmas with Ar instead of N2 lead to unfavorable trends in bonding strengths with RF power variation, which implies that thorough investigations into the interactions between plasmas and material surfaces are required for a deeper understanding of the plasma treatment mechanism during wafer bonding.
It should be noted that the mechanism behind the effects of plasma treatment requires more rigorous investigations, which are outside of scope of this paper. Despite this, the results of this work are expected to provide useful insights into plasma-assisted wafer bonding technology.

Author Contributions

Conceptualization, Y.L.; methodology, Y.L. and Y.Y. (Yebin You); investigation, Y.L. and Y.Y. (Yebin You); data curation, Y.L. and Y.Y. (Yebin You); writing—original draft preparation, Y.L.; writing—review and editing, C.C., S.K. and J.L.; supervision, S.Y.; funding acquisition, M.K., H.L., Y.Y. (Youngjun You) and K.K. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by National Research Council of Science & Technology (NST) grants by the Korean government (MSIP) (CRF-20-01-NFRI); by the Next-generation Intelligence semiconductor R&D Program through the Korea Evaluation Institute of Industrial Technology (KEIT) funded by the Korean government (MOTIE); by the Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the MOTIE of the Republic of Korea (20202010100020); by the MOTIE (1415179040, 1415179069, 1415181071, 1415180221) and KSRC (Korea Semiconductor Research Consortium) (20009818, 20010420, 20019500, 20019473) support program for the development of future semiconductor devices; by a Korea Institute for Advancement of Technology (KIAT) grant funded by the Korean government (MOTIE) (P0008458, HRD Program for Industrial Innovation); by a Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2020R1A6A1A03047771); by the Regional Innovation Strategy (RIS) program through the NRF funded by the Ministry of Education (MOE) (2021RIS-004); and by KIMM Institutional Program (NK236F) and NST/KIMM.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Lau, J.H. Recent Advances and Trends in Advanced Packaging. IEEE Trans. Compon. Packag. Manuf. Technol. 2022, 12, 228–252. [Google Scholar] [CrossRef]
  2. Yeap, L. Meeting the Assembly Challenges in New Semiconductor Packaging Trend. In Proceedings of the 34th International Electronic Manufacturing Technology Conference, Melaka, Malaysia, 30 November–2 December 2010; pp. 1–5. [Google Scholar]
  3. Agarwal, R.; Kannan, S.; England, L.; Reed, R.; Song, Y.; Lee, W.; Lee, S.; Yoo, J. 3D Packaging Challenges for High-End Applications. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1249–1256. [Google Scholar]
  4. Manier, C.; Zoschke, K.; Wilke, M.; Oppermann, M.; Ruffieux, D.; Piazza, S.D.; Suni, T.; Dekker, J.; Allegato, G.; Lang, K.-D. Wafer Level Packaigng of MEMS and 3D Integration with CMOS for fabrication of Timing Microsystems. In Proceedings of the 2016 Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS, Budapest, Hungary, 30 May–2 June 2016. [Google Scholar]
  5. Riso, C.-A.; Cardenas, S.; Galvin, S.; Warchall, J.; Fazzari, S.; Palmer, D.; Crum, D. Advanced Packaging Roadmaps and Government Needs. In Proceedings of the 2022 Government Microcircuit Applications and Critical Technology (GOMACTech), Miami, FL, USA, 21–24 March 2022. [Google Scholar]
  6. Inoue, R.; Takehara, N.; Naito, T.; Tanabe, K. Direct Semiconductor Wafer Bonding in Non-Cleanroom Environment: Understanding the Environmental Influences on Bonding. ACS Appl. Electron. Mater. 2019, 1, 936–944. [Google Scholar] [CrossRef]
  7. Nagano, F.; Iacovo, S.; Phommahaxay, A.; Inoue, F.; Sleeckx, E.; Beyer, G.; Beyne, E.; De Gendt, S. Film Characterization of Low-Temperature Silicon Carbon Nitride for Direct Bonding Applications. ECS J. Solid State Sci. Technol. 2020, 9, 123011. [Google Scholar] [CrossRef]
  8. Ke, S.; Li, D.; Chen, S. A review: Wafer bonding of Si-based semiconductors. J. Phys. D Appl. Phys. 2020, 53, 323001. [Google Scholar] [CrossRef]
  9. Bao, S.; Wang, Y.; Lina, K.; Zhang, L.; Wang, B.; Sasangka, W.A.; Lee, K.E.K.; Chua, S.J.; Michel, J.; Fitzgerald, E.; et al. A review of silicon-based wafer bonding processes, an approach to realize the monolithic integration of Si-CMOS and III–V-on-Si wafers. J. Semicond. 2021, 42, 023106. [Google Scholar] [CrossRef]
  10. Yamamoto, M.; Matsumae, T.; Kurashima, Y.; Takagi, H.; Suga, T.; Takamatsu, S.; Itoh, T.; Higurashi, E. Effect of Au Film Thickness and Surface Roughness on Room-Temperature Wafer Bonding and Wafer-Scale Vacuum Sealing by Au-Au Surface Activated Bonding. Micromachines 2020, 11, 454. [Google Scholar] [CrossRef]
  11. Kim, Y.S.; Nguyen, T.H.; Choa, S.H. Enhancement of the Bond Strength and Reduction of Wafer Edge Voids in Hybrid Bonding. Micromachines 2022, 13, 537. [Google Scholar] [CrossRef]
  12. Moriceau, H.; Rieutord, F.; Fournel, F.; Le Tiec, Y.; Di Cioccio, L.; Morales, C.; Charvet, A.M.; Deguet, C. Overview of recent direct wafer bonding advances and applications. Adv. Nat. Sci. Nanosci. Nanotechnol. 2011, 1, 043004. [Google Scholar] [CrossRef] [Green Version]
  13. Suga, T. Low Temperature Bonding for 3D Integration—A Review of the Surface Activated Bonding (SAB). In Proceedings of the 2012 3rd IEEE International Workshop on Low Temperature Bonding for 3D Integration, Tokyo, Japan, 22–23 May 2012; p. 4. [Google Scholar]
  14. Plach, T.; Hingerl, K.; Tollabimazraehno, S.; Hesser, G.; Dragoi, V.; Wimplinger, M. Mechanisms for room temperature direct wafer bonding. J. Appl. Phys. 2013, 113, 094905. [Google Scholar] [CrossRef]
  15. Suni, T.; Henttinen, K.; Suni, I.; Makinen, J. Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO2. J. Electrochem. Soc. 2002, 149, G348–G351. [Google Scholar] [CrossRef]
  16. Xu, J.; Wang, C.; Wang, T.; Wang, Y.; Kang, Q.; Liu, Y.; Tian, Y. Mechanisms for low-temperature direct bonding of Si/Si and quartz/quartz via VUV/O3 activation. RSC Adv. 2018, 8, 11528–11535. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  17. Fournel, F.; Martin-Cocher, C.; Radisson, D.; Larrey, V.; Beche, E.; Morales, C.; Delean, P.A.; Rieutord, F.; Moriceau, H. Water Stress Corrosion in Bonded Structures. ECS J. Solid State Sci. Technol. 2015, 4, P124–P130. [Google Scholar] [CrossRef]
  18. Fournel, F.; Continni, L.; Morales, C.; Da Fonseca, J.; Moriceau, H.; Rieutord, F.; Barthelemy, A.; Radu, I. Measurement of bonding energy in an anhydrous nitrogen atmosphere and its application to silicon direct bonding technology. J. Appl. Phys. 2012, 111, 104907. [Google Scholar] [CrossRef]
  19. Pasquariello, D.; Hedlund, C.; Hjort, K. Oxidation and Induced Damage in Oxygen plasma In Situ Wafer Bonding. J. Electrochem. Soc. 2000, 147, 2699–2703. [Google Scholar] [CrossRef]
  20. Rauch, N.; Andersen, E.; Vicente-Gabás, I.G.; Duchoslav, J.; Minenkov, A.; Gasiorowski, J.; Flötgen, C.; Hingerl, K.; Groiss, H. A model for spectroscopic ellipsometry analysis of plasma-activated Si surfaces for direct wafer bonding. Appl. Phys. Lett. 2022, 121, 081603. [Google Scholar] [CrossRef]
  21. Seong, I.H.; Lee, J.J.; Cho, C.H.; Lee, Y.S.; Kim, S.J.; You, S.J. Characterization of SiO2 Over Poly-Si Mask Etching in Ar/C4F8 Capacitively Coupled Plasma. Appl. Sci. Converg. Technol. 2021, 30, 176–182. [Google Scholar] [CrossRef]
  22. Cho, C.; You, K.; Kim, S.; Lee, Y.; Lee, J.; You, S. Characterization of SiO2 Etching Profiles in Pulse-Modulated Capacitively Coupled Plasmas. Materials 2021, 14, 5036. [Google Scholar] [CrossRef]
  23. Lee, Y.; Oh, S.; Lee, J.; Cho, C.; Kim, S.; You, S. A Quantification Method in Quadrupole Mass Spectrometer Measurement. Appl. Sci. Converg. Technol. 2021, 30, 50–53. [Google Scholar] [CrossRef]
  24. Maszara, W.P.; Goetz, G.; Caviglia, A.; McKitterick, J.B. Bonding of silicon wafers for silicon-on-insulator. J. Appl. Phys. 1988, 64, 4943–4950. [Google Scholar] [CrossRef]
  25. Masteika, V.; Kowal, J.; Braithwaite, N.S.J.; Rogers, T. A Review of Hydrophilic Silicon Wafer Bonding. ECS J. Solid State Sci. Technol. 2014, 3, Q42–Q54. [Google Scholar] [CrossRef]
  26. Kim, D.W.; You, S.J.; Kim, S.J.; Kim, J.H.; Oh, W.Y. Two-resonance probe for measuring electron density in low-pressure plasmas. Plasma Sources Sci. Technol. 2017, 26, 045015. [Google Scholar] [CrossRef]
  27. You, K.H.; You, S.J.; Kim, D.W.; Na, B.K.; Seo, B.H.; Kim, J.H.; Shin, Y.H.; Seong, D.J.; Chang, H.Y. A cutoff probe for the measurement of high density plasma. Thin Solid Film. 2013, 547, 250–255. [Google Scholar] [CrossRef]
  28. Kim, S.J.; Lee, J.J.; Kim, D.W.; Kim, J.H.; You, S.J. A transmission line model of the cutoff probe. Plasma Sources Sci. Technol. 2019, 28, 055014. [Google Scholar] [CrossRef]
  29. Kim, J.-H.; Choi, S.-C.; Shin, Y.-H.; Chung, K.-H. Wave cutoff method to measure absolute electron density in cold plasma. Rev. Sci. Instrum. 2004, 75, 2706–2710. [Google Scholar] [CrossRef]
  30. Kim, S.J.; Lee, J.J.; Lee, Y.S.; Kim, D.W.; You, S.J. Effect of an inhomogeneous electron density profile on the transmission microwave frequency spectrum of the cutoff probe. Plasma Sources Sci. Technol. 2020, 29, 125014. [Google Scholar] [CrossRef]
  31. Boris, D.R.; Fernsler, R.F.; Walton, S.G. The spatial profile of density in electron beam generated plasmas. Surf. Coat. Technol. 2014, 241, 13–18. [Google Scholar] [CrossRef]
  32. Kim, J.-H.; Seong, D.-J.; Lim, J.-Y.; Chung, K.-H. Plasma frequency measurements for absolute plasma density by means of wave cutoff method. Appl. Phys. Lett. 2003, 83, 4725–4727. [Google Scholar] [CrossRef]
  33. Tong, Q.Y.; Schmidt, E.; Gösele, U.; Reiche, M. Hydrophobic silicon wafer bonding. Appl. Phys. Lett. 1994, 64, 625–627. [Google Scholar] [CrossRef]
  34. Rezaei, F.; Gorbanev, Y.; Chys, M.; Nikiforov, A.; Van Hulle, S.W.H.; Cos, P.; Bogaerts, A.; De Geyter, N. Investigation of plasma-induced chemistry in organic solutions for enhanced electrospun PLA nanofibers. Plasma Process. Polym. 2018, 15, 1700226. [Google Scholar] [CrossRef]
  35. Ershov, A.; Borysow, J. Dynamics of OH (X2Π, v = 0) in high-energy atmospheric pressure electric pulsed discharge. J. Phys. D Appl. Phys. 1995, 28, 68–74. [Google Scholar] [CrossRef]
  36. Sanz-Velasco, P.A.; Bengtsson, S.; Colinge, C. Room Temperature Wafer Bonding Using Oxygen Plasma Treatment in Reactive Ion Etchers With and Without Inductively Coupled Plasma. J. Electrochem. Soc. 2003, 150, G155–G162. [Google Scholar] [CrossRef]
  37. Chen, L.; Ngo, D.; Luo, J.; Gong, Y.; Xiao, C.; He, X.; Yu, B.; Qian, L.; Kim, S.H. Dependence of water adsorption on the surface structure of silicon wafers aged under different environmental conditions. Phys. Chem. Chem. Phys. 2019, 21, 26041–26048. [Google Scholar] [CrossRef] [PubMed]
  38. Tedjini, M.; Fournel, F.; Moriceau, H.; Larrey, V.; Landru, D.; Kononchuk, O.; Tardif, S.; Rieutord, F. Interface water diffusion in silicon direct bonding. Appl. Phys. Lett. 2016, 109, 111603. [Google Scholar] [CrossRef]
  39. Min, K.S.; Kang, S.H.; Kim, J.K.; Yum, J.H.; Jhon, Y.I.; Hudnall, T.W.; Bielawski, C.W.; Banerjee, S.K.; Bersuker, G.; Jhon, M.S.; et al. Atomic layer etching of BeO using BCl3/Ar for the interface passivation layer of III–V MOS devices. Microelectron. Eng. 2014, 114, 121–125. [Google Scholar] [CrossRef]
Figure 1. Surface roughness of Si and SiO2 wafers before plasma treatment.
Figure 1. Surface roughness of Si and SiO2 wafers before plasma treatment.
Micromachines 13 01856 g001
Figure 2. Sequence of the wafer bonding process.
Figure 2. Sequence of the wafer bonding process.
Micromachines 13 01856 g002
Figure 3. Bonding strengths obtained through an identical process under the same processing conditions normalized to the bonding strength with no plasma treatment.
Figure 3. Bonding strengths obtained through an identical process under the same processing conditions normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g003
Figure 4. Comparison between the bonding strengths from Si wafers DIW cleaned before and after plasma treatment normalized to the bonding strength with no plasma treatment.
Figure 4. Comparison between the bonding strengths from Si wafers DIW cleaned before and after plasma treatment normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g004
Figure 5. (a) Emission intensity of 309 nm wavelength detected by OES as a function of the evacuation time, and (b) bonding strengths as a function of the evacuation time normalized to the bonding strength with no plasma treatment.
Figure 5. (a) Emission intensity of 309 nm wavelength detected by OES as a function of the evacuation time, and (b) bonding strengths as a function of the evacuation time normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g005
Figure 6. (a) Bonding strengths obtained from plasma treatments under different pressures normalized to the bonding strength with no plasma treatment and (b) electron density and self-bias voltage measured under different pressures.
Figure 6. (a) Bonding strengths obtained from plasma treatments under different pressures normalized to the bonding strength with no plasma treatment and (b) electron density and self-bias voltage measured under different pressures.
Micromachines 13 01856 g006
Figure 7. (a) Bonding strengths obtained from plasma treatment at different RF powers normalized to the bonding strength with no plasma treatment and (b) electron density and self-bias voltage measured at different RF powers.
Figure 7. (a) Bonding strengths obtained from plasma treatment at different RF powers normalized to the bonding strength with no plasma treatment and (b) electron density and self-bias voltage measured at different RF powers.
Micromachines 13 01856 g007
Figure 8. (a) Bonding strengths of wafer pairs under different plasma treatments with N2, O2, and Ar gases, and (b) IR images of the bonded interfaces under different plasma treatments with N2, O2, and Ar gases pictured before and after annealing.
Figure 8. (a) Bonding strengths of wafer pairs under different plasma treatments with N2, O2, and Ar gases, and (b) IR images of the bonded interfaces under different plasma treatments with N2, O2, and Ar gases pictured before and after annealing.
Micromachines 13 01856 g008
Figure 9. (a) Bonding strengths obtained from different plasma surface treatment approaches of Si only, SiO2 only, and Si & SiO2, and (b) comparison between bonding strengths of Si-SiO2 and SiO2-SiO2 pairs with and without plasma treatment normalized to the bonding strength with no plasma treatment.
Figure 9. (a) Bonding strengths obtained from different plasma surface treatment approaches of Si only, SiO2 only, and Si & SiO2, and (b) comparison between bonding strengths of Si-SiO2 and SiO2-SiO2 pairs with and without plasma treatment normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g009
Figure 10. (a) Comparison of bonding strengths obtained from wafers stored between plasma treatment and DIW cleaning steps normalized to the bonding strength with no plasma treatment and (b) Comparison of water contact angles (WCAs) obtained from plasma treatments at different RF powers.
Figure 10. (a) Comparison of bonding strengths obtained from wafers stored between plasma treatment and DIW cleaning steps normalized to the bonding strength with no plasma treatment and (b) Comparison of water contact angles (WCAs) obtained from plasma treatments at different RF powers.
Micromachines 13 01856 g010
Figure 11. STEM images of the oxide layer on Si measured (a) as-received and (b) a few days after plasma treatment.
Figure 11. STEM images of the oxide layer on Si measured (a) as-received and (b) a few days after plasma treatment.
Micromachines 13 01856 g011
Figure 12. Bonding strengths obtained with different pause durations between prebonding and annealing normalized to the bonding strength with no plasma treatment.
Figure 12. Bonding strengths obtained with different pause durations between prebonding and annealing normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g012
Figure 13. Bonding strengths obtained from different annealing parameters, (a) temperature and (b) time, normalized to the bonding strength with no plasma treatment.
Figure 13. Bonding strengths obtained from different annealing parameters, (a) temperature and (b) time, normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g013
Figure 14. Bonding strengths obtained from different wafer pair loading methods normalized to the bonding strength with no plasma treatment.
Figure 14. Bonding strengths obtained from different wafer pair loading methods normalized to the bonding strength with no plasma treatment.
Micromachines 13 01856 g014
Table 1. List of the examined conditions for the reference conditions in the wafer bonding process.
Table 1. List of the examined conditions for the reference conditions in the wafer bonding process.
Processing StepConditions
PreparationNo surface cleaning
5 min evacuation
Plasma treatment100 sccm N2 flow rate
100 mTorr pressure
100 W RF power
15 s duration
DIW cleaning500 RPM for cleaning for 30 s
1800 RPM for drying for 60 s
Annealing10 min ramping up to 380 °C
60 min annealing up to 380 °C
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Lee, Y.; You, Y.; Cho, C.; Kim, S.; Lee, J.; Kim, M.; Lee, H.; You, Y.; Kim, K.; You, S. Comprehensive Assessments in Bonding Energy of Plasma Assisted Si-SiO2 Direct Wafer Bonding after Low Temperature Rapid Thermal Annealing. Micromachines 2022, 13, 1856. https://doi.org/10.3390/mi13111856

AMA Style

Lee Y, You Y, Cho C, Kim S, Lee J, Kim M, Lee H, You Y, Kim K, You S. Comprehensive Assessments in Bonding Energy of Plasma Assisted Si-SiO2 Direct Wafer Bonding after Low Temperature Rapid Thermal Annealing. Micromachines. 2022; 13(11):1856. https://doi.org/10.3390/mi13111856

Chicago/Turabian Style

Lee, Youngseok, Yebin You, Chulhee Cho, Sijun Kim, Jangjae Lee, Minyoung Kim, Hanglim Lee, Youngjun You, Kyungman Kim, and ShinJae You. 2022. "Comprehensive Assessments in Bonding Energy of Plasma Assisted Si-SiO2 Direct Wafer Bonding after Low Temperature Rapid Thermal Annealing" Micromachines 13, no. 11: 1856. https://doi.org/10.3390/mi13111856

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop