Next Article in Journal
Application of Pre-Wetted High Titanium Heavy Slag Aggregate in Cement Concrete
Next Article in Special Issue
Ga2O3 and Related Ultra-Wide Bandgap Power Semiconductor Oxides: New Energy Electronics Solutions for CO2 Emission Mitigation
Previous Article in Journal
Numerical Investigation of the Seismic Performance of Steel Frames with Energy-Dissipating Composite Walls
Previous Article in Special Issue
Status of Aluminum Oxide Gate Dielectric Technology for Insulated-Gate GaN-Based Devices
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Structural and Insulating Behaviour of High-Permittivity Binary Oxide Thin Films for Silicon Carbide and Gallium Nitride Electronic Devices

Consiglio Nazionale delle Ricerche—Istituto per la Microelettronica e Microsistemi (CNR-IMM), 95121 Catania, Italy
*
Author to whom correspondence should be addressed.
Materials 2022, 15(3), 830; https://doi.org/10.3390/ma15030830
Submission received: 17 December 2021 / Revised: 18 January 2022 / Accepted: 19 January 2022 / Published: 22 January 2022
(This article belongs to the Special Issue Feature Papers in Electronic Materials Section)

Abstract

:
High-κ dielectrics are insulating materials with higher permittivity than silicon dioxide. These materials have already found application in microelectronics, mainly as gate insulators or passivating layers for silicon (Si) technology. However, since the last decade, the post-Si era began with the pervasive introduction of wide band gap (WBG) semiconductors, such as silicon carbide (SiC) and gallium nitride (GaN), which opened new perspectives for high-κ materials in these emerging technologies. In this context, aluminium and hafnium oxides (i.e., Al2O3, HfO2) and some rare earth oxides (e.g., CeO2, Gd2O3, Sc2O3) are promising high-κ binary oxides that can find application as gate dielectric layers in the next generation of high-power and high-frequency transistors based on SiC and GaN. This review paper gives a general overview of high-permittivity binary oxides thin films for post-Si electronic devices. In particular, focus is placed on high-κ binary oxides grown by atomic layer deposition on WBG semiconductors (silicon carbide and gallium nitride), as either amorphous or crystalline films. The impacts of deposition modes and pre- or postdeposition treatments are both discussed. Moreover, the dielectric behaviour of these films is also presented, and some examples of high-κ binary oxides applied to SiC and GaN transistors are reported. The potential advantages and the current limitations of these technologies are highlighted.

1. Introduction

Today, it is widely recognized that microelectronic devices have improved the quality of our daily lives, strongly contributing to the development of human civilization. In the 1940s–1950s, the first microelectronic devices appeared, and they were based on germanium. However, silicon (Si) gradually began to be the semiconductor of choice, driving the power electronics revolution with the introduction of the first p-n-p-n transistors in 1956 at Bell Laboratories [1,2]. About two decades later, the introduction of metal-oxide-semiconductor field-effect transistors (Si-MOSFETs) set the foundations for the development of the modern CMOS technology [3]. Hence, for about fifty years, microelectronics have been based mainly on Si semiconductors. The great success of digital technology may apparently indicate that Si is still the most suitable material for microelectronic devices. However, in other fields, such as electronic systems for power transmission or distribution (power converters, base stations, wireless connections, etc.) and optoelectronics (light emitting diodes—LEDs, lasers), the achievement of the ultimate silicon performances opened the route for the post-Si era. In this context, wide band gap (WBG) semiconductors emerged as the most suitable materials for this technological revolution, especially in high-power and high-frequency electronics [4,5,6,7].
Among the WBG semiconductors, silicon carbide (SiC) and gallium nitride (GaN) are the most attractive candidates because they already provide a good compromise between their theoretical properties (blocking voltage capability, operation temperature, and switching frequency) and commercial availability [4,5,6]. Their wide band gaps result in higher breakdown voltage and operation temperature with respect to Si, so both are excellent candidates to replace Si in the next generation of high-power and high-frequency electronics. Because of their different physical and electronic properties in terms of carrier mobility and thermal conductivity [8,9], SiC and GaN will cover different market segments in the post-Si technologies [10]. In particular, SiC is more suitable for high-power applications based on vertical devices, while GaN is more efficient for high-frequency applications based on lateral transistors. In any case, both materials can provide superior performances with respect to the existing Si devices [5,6], although the different technological steps for transistor fabrication need to be appropriately integrated.
Gate insulators are certainly the most important brick for transistor operation, even in the post-Si era, since the device performances critically depend on the choice of the insulating material. However, gate insulator technology is rather different in SiC and GaN, thus leading to a variety of issues to be faced when developing devices on these two WBG semiconductors.
Traditional dielectric materials, such as silicon oxide or silicon nitride, have also been widely investigated [11,12,13,14] for applications based on WBG semiconductors. However, the performance of the ideal Si/SiO2 system has been not achieved, and attention has been focused on the so-called “high-κ” oxides [15,16,17,18,19,20]. Among all the high-κ materials, some binary oxides (such as Al2O3 [21,22], HfO2 [22], NiO [23,24], CeO2 [25], Sc2O3 [26,27], La2O3 [28], Gd2O3 [28], Y2O3 [28,29], ZrO2, [17,18], Ga2O3 [30], etc.) potentially represent a suitable solution for the integration in WBG-based devices because of their higher chemical stability and/or lower fabrication cost. Some other possible materials have been studied, such as ternary oxides and nitrides, but those materials are beyond the topic of this review paper.
Table 1 shows a summary of the possible oxide candidates for the replacement of the SiO2 dielectric material and their principal physical properties, such as dielectric constant values, band gaps, and crystallization temperatures.
Figure 1a reports the values of the band gaps of different insulators as a function of their relative permittivity (in units of the vacuum permittivity ε0). The general trend (highlighted by the continuous line) is a decrease in the band gap with increasing permittivity. Hence, the reduced band gap of high-permittivity oxides can represent a concern in terms of leakage current. For this reason, insulators with appropriate band alignment with the semiconductor must be preferred. In this context, Figure 1b shows the band alignment of several high-κ oxides with the semiconductor materials under consideration (i.e., Si, 4H-SiC, and GaN). The offset between the conduction bands of the semiconductors and insulators is reported in scale.
Hence, in terms of physical properties, the guidelines for the choice of the ideal gate dielectric material are: (i) high dielectric constant value; (ii) appropriate alignment of the band gap with respect to the substrates (in particular, the band offset should be greater than 1 eV); (iii) thermal stability during the fabrication process (many steps have to be carried out at high temperatures for short periods of time) [17,18,19].
Moreover, since the gate oxide is directly in contact with the device channel, another important requirement is good quality of the gate oxide/semiconductor interface in terms of low roughness and low density of electronic defects [5].
These requirements could be met throughout two possible approaches, i.e., a crystalline gate oxide epitaxially grown on the semiconducting substrate or an amorphous oxide. Electronic defects can be thus minimized either by exactly or randomly saturating the dangling bonds, respectively. Generally, amorphous oxides are the preferred solution, since they possess isotropic dielectric constants due to the fluctuation of the polarized bonds and do not possess rough edges. By contrast, the advantage of the epitaxial oxides is the abruptness of the interface [17,18].
In general, as schematically illustrated in Figure 2, structural and compositional defects of binary oxides (e.g., oxygen vacancies, impurities, etc.) can generate the presence of energetic levels within the band gap or at the interface, and the trapped charges in these states are undesirable for the following reasons: (i) they are responsible for a shift in the voltage threshold of the transistor; (ii) they may change over time and determine the instability of the transistor output characteristics; (iii) they scatter the carriers in the inversion channel and, consequently, limit the channel mobility; (iv) they compromise the transistor reliability because they are the main cause of the dielectric breakdown [17,18].
Silicon dioxide (SiO2) [15] was considered an ideal dielectric during the Si era because it possesses a very low electronic defect density. The reason for this is the low coordination number, which guarantees the possibility to “repair” the dangling bonds. On the other hand, alternative high-κ oxides possess chemical bonds that cannot easily relax, thus inevitability leading to a higher electronic defect density. Hence, there is a need to reduce the number of electronic defects in these materials by annealing treatments or by optimizing their deposition processes.
In this context, the important role of the growth technique for the deposition of the high-κ dielectric layers is clear. Certainly, many deposition techniques based on either physical or chemical principles are available. However, the semiconductor industry currently demands manufacturing techniques able to achieve good surface coverage on large areas, high conformity on three-dimensional structures, high growth rate, reliability, and compatibility with the thermal budget required for the device fabrication [31,32].
Table 2 compares the main features of the common growth techniques used [29] for the deposition of high-κ oxide thin films for microelectronics applications, considering the different deposition parameters. High deposition rates and large varieties of available materials are certainly the main advantages of molecular beam epitaxy (MBE) or chemical vapor deposition (CVD) methods. By contrast, these techniques are characterized by the need for high deposition temperatures. Physical vapor deposition (PVD)-based techniques are generally preferred for metals rather than for insulator deposition and lack uniformity over large areas.
However, judging from the latest industrial trends and looking forward at the nanometric-scale miniaturization process of electronic devices, the employment of deposition methods with atomic-level accuracy has become mandatory. From this perspective, atomic layer deposition (ALD) is the most promising deposition technique, and it is gradually replacing CVD and PVD techniques in many applications.
ALD is an innovative thin-film growth method that belongs to the general class of CVD techniques. As in a typical CVD process, films are deposited from gaseous chemical precursors, one for each element of the desired compound. However, unlike the traditional CVD mechanism, the ALD process is characterized by “self-limited” reactions, first between precursor and pristine surface and second on a surface saturated by one “monolayer” of precursor fragments [31]. This deposition mechanism allows subnanometer control of film thickness, conformal coating of nonplanar substrates (step coverage ~100%), and high-quality films deposited at relatively low temperatures [32]. For these reasons, the employment of ALD can give several advantages over that of either CVD or PVD. Finally, the low growth rate of the classical thermal ALD (T-ALD) process has been now significantly improved by the implementation of plasma enhanced ALD (PE-ALD). PE-ALD is an energy-enhanced deposition technique based on plasma ignition to enhance the co-reactants’ reactivity. The high reactivity of the plasma species produces a higher density of reactive surface sites. Consequently, higher growth rates and better properties of the resulting films in terms of density, impurity content, and electrical parameters can be obtained. Another advantage of PE-ALD is the possibility to control additional process parameters, such as the operating pressure, plasma power, and plasma exposure time. Varying the plasma parameters enable fine tuning the properties of the deposited films.
A great part of the results presented in the following Sections are related to high-κ oxides grown by ALD techniques.

2. Amorphous High-κ Oxides on WBG Semiconductors

Several amorphous materials have been studied in the last decades as possible high-κ gate oxides for WBG semiconductors. Among them, because of their high crystallization temperature, Al2O3 thin films have certainly been the most widely investigated solution as amorphous dielectric layers. Some studies have reported on Al2O3 formed by reactive ion sputtering [33,34,35], oxidation of Al in oxygen ambient at high temperatures [36], and a few others nonconventional techniques [37,38]. The major drawbacks of these solutions are the low breakdown fields (around 5–6 MVcm−1) of the deposited films and their poor thickness uniformity on large areas. These limitations have been overcome by the implementation of the ALD technique, which has been the method of choice to study the potentiality of Al2O3 thin films [39,40,41,42,43,44].
However, several issues still remain objects of investigation in order to optimize the quality of deposited materials and their interfaces with the WBG semiconductors. Moreover, though the growth of high-κ oxides amorphous films is generally carried out at low deposition temperatures (in the 200–300 °C range), some interfacial interaction could occur in SiC and GaN substrates, resulting in the presence of unwanted materials or deposition by products.
In this context, the cleaning of the substrate surface before dielectric deposition, as well as the postdeposition annealing treatments, are discussed in the next subsections, illustrating as examples some relevant case studies of amorphous high-κ oxides on SiC and GaN substrates.

2.1. Growth of Amorphous High-κ Oxides on SiC

Unlike that of thermal silicon dioxide (SiO2), the growth of high-κ oxides on silicon carbide is much more affected by the quality of the semiconductor surface. In fact, in order to limit the amount of the interface state density (Dit), appropriate cleaning of the SiC surfaces is always required.
A variety of SiC surface-cleaning treatments have been proposed, based either on wet chemical solutions [44,45,46] or plasma [47,48,49]. The most used chemical solutions for SiC cleaning are combinations of diluted sulfuric acid, hydrogen peroxide, isopropanol, diluted hydrofluoric acid. Suvanam et al. [46] demonstrated that RCA treatment [45], followed by HF diluted solution and finally isopropanol, was a good route to improve the interfacial electrical characteristics of Al2O3 films on SiC, obtaining a density of interface states Dit = 1.5 × 1011 eV−1 cm−2 at EC − Et = 0.2 eV below the 4H-SiC conduction band edge, which was about two orders of magnitude lower than the values found with thermal SiO2. In regard to plasma treatment before high-κ deposition, H2 plasma has been also evaluated in some works [47,48,49], since it represents an efficient route for the passivation of dangling bonds on SiC surfaces. Heo et al. [49] measured promising values of interface state density (Dit = 6 × 1012 eV−1 cm−2 at EC − Et = 0.2 eV) when a 15 min long H2 plasma treatment was performed before deposition and after the post-metallization step.
As a matter of fact, besides surface treatments before the dielectric deposition, postdeposition annealings are of great importance to optimize the dielectric properties. Many parameters can in principle be varied, such as ambient atmosphere, annealing temperature and time, etc. However, these processing steps must be ultimately compatible with complete SiC device fabrication, in which, e.g., the formation of metal contacts is achieved at high temperatures (900–1000 °C) and fixed gas atmospheres (N2 or Ar). Generally, a large number of high-κ oxides possess crystallization temperatures of about 400–500 °C, with Al2O3 being the most thermally stable at up to 800 °C. However, independently of the chemical nature of the high-κ oxide, the annealing process can improve dielectrical properties. For instance, Wang et al. [50] demonstrated the beneficial effects of high-temperature annealings (800–1000 °C) performed in O2 atmosphere on Al2O3 films. In particular, they showed that although Al2O3 films started crystallizing at 900 °C, capacitance vs. voltage (C–V) measurements revealed their improved electrical characteristics (i.e., reduced hysteresis phenomena). Hence, the authors concluded that annealing at 900 °C represented the best option in terms of both surface morphology and dielectric quality. On the other hand, many other papers demonstrated that such high annealing temperatures induce the formation of a thin stoichiometric or sub-stoichiometric silicon oxide interfacial layer [33,50,51,52]. This oxidation phenomenon can have a detrimental impact on the properties of high-κ/SiC interfaces, including in the case of abrupt Al2O3/4H-SiC interfaces obtained by ALD growth [40,53,54,55]. In this context, annealing in N2 atmosphere can be the preferred solution, although uncontrolled SiOx formation can occur in N2 atmosphere for high annealing temperatures. Moreover, Avice et al. [42] and Khosa et al. [36] showed that an additional effect of incomplete SiC oxidation was the formation of C clusters if not enough oxygen was present to enable the out-diffusion of carbon as carbon monoxide. The formation of the SiOx interfacial layers was observed independently of the annealing temperature or ambient. In fact, this phenomenon has been observed even in vacuum or at only 300 °C annealing temperature [55]. Hence, it is expected that the elimination of residual O2 molecules in the annealing ambient is one the key issues for the limitation of SiOx formation.
In general, most of the reported postdeposition annealing studies were carried out in oxidizing (O2 or N2O) or non-oxidizing (Ar, N2 or forming gas) ambient, in the 500–1100 °C temperature range, and for short (1 min) or long (1–2 h) times. An interaction at the interface has always been observed by the formation of the silicon oxide layers and carbon clusters. The control of the chemical nature of the interface products, which in turn strongly affects the electrical characteristics, is not trivial.
In this context, Schilirò et al. [39,40] reported an interesting comparison between the properties of Al2O3 thin films grown by PE-ALD on bare 4H-SiC and on a 5 nm thermal SiO2/SiC stack. TEM analyses (shown in Figure 3a,b) showed uniform interfaces and well adherent films. The surface morphology of the films (determined by AFM) was very similar, with root-mean-square (RMS) values measured over a 1 μm2 area of 0.670 nm and 0.561 nm for Al2O3/SiC and Al2O3/SiO2/SiC samples, respectively.
Though the interface structural quality appears analogous, quite different electrical properties were measured on MOS capacitors. In fact, current vs. voltage (I–V) measurements (Figure 3d) showed a higher leakage current in the Al2O3/SiC than in the Al2O3/SiO2/SiC stack. Furthermore, the breakdown fields, i.e., 5.7 MV/cm for the Al2O3/SiC and 7 MV/cm for the Al2O3/SiO2/SiC, demonstrated the better electrical quality obtained by the introduction of the SiO2 at the interface. Moreover, the relative permittivity values, evaluated from the C–V curves (Figure 3c), were ε ≈ 6.7 and ε ≈ 8.4 for the Al2O3/SiC and the Al2O3/SiO2/SiC samples, respectively.
These results can be explained by considering both the larger conduction band offset between the SiO2 and the SiC substrate (Figure 1b) and the different chemical impact of the substrate surface on the Al2O3 nucleation process. This latter is schematically depicted in Figure 4, showing that the presence of the OH species on the SiO2 surface favours the nucleation process by increasing the number of nucleation sites and the formation of denser Al2O3 films.
Other high-κ oxides have been also grown on SiC substrates as thin amorphous films, such as HfO2 [56,57,58], La2O3 [59,60], Ta2O5 [61], and TiO2 [62]. Among these materials, HfO2 thin films have been widely investigated because of their superior theoretical properties, such as much higher permittivity. However, the main drawback for their implementation on SiC-based devices is the imperfect alignment of both conduction and valence band offsets (about 0.7 and 1.74 eV, respectively) with those of SiC. Cheong et al. [56,57] reported on HfO2 films with a very high dielectric constant value (20), but the interface state density Dit was as high as 2 × 1013 eV−1 cm−2, which give no advantage with respect to the SiO2/SiC system. Moreover, very high leakage current densities of 1 mA cm−2 were already recorded in an electric field as low as 0.3 MVcm−1 by Afanas’ev et al. [58]. While in this case, the high leakage current could in principle be mitigated by the introduction of a SiO2 layer at the SiC interfaces, a further issue to be considered is the low thermal stability of HfO2 at temperatures higher than 500 °C, when crystallization starts to occur.
In order to maintain the best features of HfO2 (i.e., high permittivity) and Al2O3 (i.e., high crystallization temperature), these two materials have been evaluated in combined laminated systems.
In this context, some Al2O3/HfO2 bilayer systems deposited on thermally oxidized 4H-SiC substrate have been studied, the most complex stack being an Al2O3/HfO2 multilayer laminated system [63]. The Al2O3/HfO2 nanolaminate shown (Figure 5a) had a total thickness of 38 nm and perfectly distinguishable sublayers, each with thickness of about 1.4–1.8 nm. After annealing treatment at 800 °C in N2 atmosphere, the interfaces between the sublayers (Figure 5b) became less sharp, and an intermixing process occurred. Notably, both the as-deposited and annealed samples showed amorphous structures. AFM investigation pointed to a smooth surface morphology with a low RMS value of 0.6 nm, which was maintained in the annealed sample. A dielectric constant value of 12.4 was determined by the accumulation capacitance in MOS capacitors, taking into account of the SiO2 interfacial layer. However, on the as-deposited sample, a high value of oxide trapped charge (Not) of 2.7 × 1012 cm−2 was found. Nevertheless, after the annealing treatment at 800 °C in N2, the nanolaminated stack showed an improvement of the dielectric properties, since the dielectric constant value increased to 13.4 and the Not value decreased to 1.15 × 1012 cm−2.
Few other papers have been dedicated to thin films of simple high-κ oxides such as La2O3 [59,60], Ta2O5 [61], or TiO2 [62], which, when directly grown on 4H-SiC, showed analogous results as in the case of simple HfO2 oxide. Generally, they demonstrated good dielectric constant values, but their high interface state density and low breakdown voltages made them still far from possible implementation in real devices.
In summary, among the pure high-κ oxides, Al2O3 thin films represent the best compromise, especially in combination with a very thin SiO2 interfacial layer. Some possible other high-κ bilayers, such as HfO2/Al2O3 [64], Y2O3/Al2O3 [65], or ZrO2/SiO2 [66], exhibited some potentiality, although not many reports have been made available to date, especially regarding devices.
In regard to dielectric properties, the relevant results on the electrical performances of high-κ oxides integrated in SiC MOSFETs are reported in more detail in Section 4.

2.2. Growth of Amorphous High-κ Oxides on GaN-based Materials

The surfaces of GaN-based materials (GaN, AlGaN, InGaN, etc.) are typically characterized by the presence of large concentrations of defects (e.g., nitrogen vacancies, structural/morphological imperfections, residual contaminations, etc.) that can result in large leakage current and low performance and device reliability. Kerr et al. [67] demonstrated by density functional theory simulations that the defect sites, such as Ga dangling bonds and Ga-Al metal bonds, are responsible for the formation of states in the band gap. These interfacial trap states could be removed by annealing procedures before or after gate dielectric deposition. Moreover, especially from the perspective of high-κ gate oxide deposition, the removal of contaminations is crucial for increasing the density of precursor nucleation sites. Hence, pre-deposition surface treatments are needed to improve high-κ oxide quality. Systematic studies [68,69,70,71,72,73,74,75,76] have reported on the effect of several pre-treatments, and the principal cleaning/activation methods have been based on the use of wet chemical solutions [68,69,70,71,72,77,78] or plasma/gas actions [73,74,75,76]. Generally, the piranha (H2O2:H2SO4) solution is used for the cleaning of carbon contaminations, but some oxidation of the nitride surface can occur [70,71]. On the other hand, chloride acid (HCl) solution is efficient for the removal of metallic contaminations (eventually present from device processing) or residual oxygen on the surface. However, chlorine itself could be a residual contamination of the system [70]. Finally, hydrofluoric acid (HF) treatment is effective for the elimination of unwanted native oxide formation but is not efficient for carbon contamination [70,71]. Brennan et al. [71] compared the nucleation efficiency of the Al precursor with/without the cleaning of the surface by sequential use of acetone, methanol, isopropanol, and HF 2% solution. It was clear, from the results of an XPS study after each ALD cycle, that the decrease in the Ga-O concentration induced by the HF etch resulted in a stronger interaction between the Al precursor and the Ga surface. Nepal et al. [69] compared the effects of three different chemical solutions (i.e., piranha, diluted HF, and diluted HCl), finding that: (i) the single HCl pre-treatment provides 10–30 nm-sized particles, indicating a three-dimensional nucleation; (ii) the HF-based treatments produced an improvement in the electrical behaviour; (iii) the best dielectric properties, in terms of smaller hysteresis and lower density-trap state values, were obtained on the piranha-treated surface. Finally, Schilirò et al. [72] showed a comparison among several chemical solution combinations (i.e., piranha, HCl/ HF, and piranha/HF). In particular, it was shown that, although the Al2O3 thin films treated with each solution possessed identical structural properties, adherent, uniform, and amorphous, there were some intrinsic differences depending on the adopted surface pre-cleaning. In fact, under a TEM electron beam, the films deposited after piranha treatment showed the formation of polycrystalline grains, while epitaxial layers were formed for samples deposited after HF based treatments. This was an indication that in the case of HF-based treatments, the deposition process occurred on a very clean AlGaN surface, which could act as seed layer for the formation of epitaxial films. Moreover, investigation of the initial growth stages by AFM demonstrated that the smallest three-dimensional grain nucleation resulted in deposition on HF-HCl-treated surfaces, which could ensure a cleaner surface in order to allow ideal layer-by-layer ALD growth.
It could be concluded that the pre-deposition treatments of GaN-based surfaces with HF cleaning provided Al2O3 films with the best dielectric properties [69,71,72].
An alternative route to cleaning by chemical solution is represented by “in situ” cleaning process based on H2/N2 (forming gas) or NH3 plasma actions [68]. The impact of N2 and forming gas on the growth and interfacial characteristics of Al2O3 on AlGaN/GaN heterostructures was explored by Qin et al. [73], who demonstrated by XPS investigation that C contamination was effectively reduced by both N2 and forming gas plasma. The latter also decreased the number of Ga-O bonds, improving the Al2O3 nucleation. In regard to plasma action effects before high-κ deposition, the same group contributed with a large variety of studies [73,75,76]. In particular, the effects of O2, N2, and forming gas plasma annealing were evaluated, comparing the electrical behaviour in terms of interface state density with the results obtained by XPS analyses. The formation of oxynitride bonds (Ga-O-N) increased the number of interface defects and that among all the studied treatments, the forming gas action was the most efficient.
In this context, it has to be emphasized that the semiconductor surface preparation and the deposition conditions may induce different insulting behaviours after the first film growth stages. As an example, Schilirò et al. [79] recently reported different behaviour in the early growth stages of Al2O3 thin films deposited on AlGaN/GaN heterostructures by thermal or plasma-enhanced ALD. In particular, they provided evidence that the PE-ALD process occurred under ideal layer-by-layer growth because of the efficiency of the O2-plasma agent, which acted directly on the Al precursor. On the other hand, the T-ALD approach resulted in a nucleation process of the Al2O3 film similar to the island-growth model and a higher susceptibility to charge trapping [79].
Summarizing, surface preparation prior to high-κ oxide deposition is a crucial issue, including in the case of GaN-based materials, and can be carried out by many procedures. The aim is the cleaning of C residues, which are detrimental for the oxides’ nucleation, and the elimination of Ga-N-O bonds, which are the main centres of interfacial electronic defects. These two issues are generally addressed by non-oxidizing plasma action or by HF treatments.

3. Epitaxial Growth of High-κ Oxides on WBG Semiconductors

While different oxides have been studied as gate insulators on SiC and GaN [15,16,17,18,19], only some of them can be grown epitaxially on the WBG semiconductor single-crystal surface [23,24,25,80,81,82,83,84]. The epitaxial growth of high-κ oxides on WBG semiconductor substrates can offer some advantages. Generally, the principal improvement is related to better saturation of interface unbonded atoms. In particular, the most commonly used SiC and GaN polymorphs for microelectronics applications possess the wurtzite structure, with hexagonal surface atomic arrangements. However, though in principle this strategy can be applied to both SiC and GaN technologies, practical studies have been performed mainly on GaN-based substrates. In fact, the few studies of epitaxial high-κ materials on SiC substrates were limited to γ-Al2O3 phase films [80] and direct growth of NiO thin films by metal organic chemical vapour deposition (MOCVD) [81]. The γ-Al2O3 phase films were initially grown by Tanner et al. [80] by the ALD process as amorphous layers, and the epitaxy on 4H-SiC substrate was obtained under a post-annealing crystallization process at a very high (1100 °C) temperature. The epitaxy was observed for the alignment of the γ-Al2O3 (111) planes with the (001) 4H-SiC substrate, having a lattice mismatch of about 8.8%. On the basis of the performed reflection high-energy electron diffraction analysis, the (111) γ-Al2O3 oriented films showed quite good structural properties for film thickness up to 20 nm, even though some twinned grains were present. Moreover, upon increasing the film thickness, the crystallization process was no more efficient, and amorphous regions were observed under TEM investigation.
Epitaxial NiO films, by contrast, have been directly grown onto 4H-SiC epilayers at the deposition temperature of 550 °C [81,85]. A high-resolution TEM micrograph of the NiO/4H-SiC interface (Figure 6a) confirmed the presence of an axially-oriented (111) NiO film, but a “non-ideal” interface was observed, because a discontinuous amorphous SiO2 layer was detected, probably formed during MOCVD growth. Furthermore, the presence of Moiré fringes generated by the superposition of twinned NiO grains was observed. The C–V characteristics of NiO/4H-SiC capacitors (Figure 6b) were used to calculate the dielectric constant, the value of which, at 6.2, was much lower than the theoretical 11.9. This result was justified by the presence of the discontinuous silicon oxide interfacial layer.
More studies on growing epitaxial oxides have been carried out on GaN-based materials. The materials under investigation comprise some lanthanide oxides, such as gadolinium [82], scandium [83,84], and lanthanum [83] oxides, as well as nickel [23,24,81] and cerium oxides [25,81]. The lanthanides oxides possess bixbyite symmetry, while NiO and CeO2 are face cubic centred (fcc) oxides. However, the (111) planes of the latter two possess a hexagonal oxygen structure, which is suitable for epitaxy with the (0001) GaN superficial planes. Their structural and physical properties are summarized in Table 3.
The epitaxial growth of Sc2O3 thin films was performed on a GaN substrate at about 700 °C by the pulsed laser deposition (PLD) technique [84]. Herrero et al. [84] demonstrated that the most critical deposition parameter to obtain perfectly stoichiometric and epitaxial Sc2O3 thin films was the oxygen partial pressure. In particular, above 50 millitorr oxygen partial pressure, more than one preferential growth direction was observed. The epitaxial growth of Sc2O3 was also evaluated by Jur et al. [83] by the MBE technique. Their investigation extended to La2O3, which in principle can provide a dielectric constant of 26 in its hexagonal structure. Nevertheless, La2O3 growth was demonstrated not to be trivial, since La2O3 is a hygroscopic material and tends to form an amorphous layer at the interface with the GaN substrates. Nevertheless, the authors demonstrated that it was possible to inhibit the water diffusion by the introduction of a thin Sc2O3 layer between GaN and the growing La2O3 films. The MBE technique was also used for the growth of Gd2O3 epitaxial gate oxide on an AlGaN/GaN heterostructure [82]. Sakar et al. [82] showed the impact of a Gd2O3 epitaxial oxide layer on the electrical performance of an HEMT device. Gd2O3 films were deposited at 650 °C. The authors demonstrated that the Gd2O3 layer underwent phase transition upon increasing its film thickness. The first layers, up to about 3 nm, possessed hexagonal structure, which changed to monoclinic phase when the thickness of 15 nm was reached. This phase transformation had a great impact on the electrical properties, especially in terms of interface trap density, which showed a minimum value of 2.98 × 1012 cm−2 eV−1 in Gd2O3 film 2.8 nm thick. The authors’ conclusion was that the epitaxial lattice strain also positively affected the two-dimensional electron gas density at the AlGaN/GaN interface by about 40%.
Nickel and cerium oxides (NiO and CeO2) have also been deposited onto AlGaN/GaN systems. The first report on NiO-oriented film as a gate insulating layer in AlGaN/GaN devices was related to thermal oxidation of Ni metal layers [86]. In particular, the fabrication process relied on a heating treatment, in the 300–600 °C temperature range for 5 min in air ambient, of a 10 nm-thick Ni metal layer. Besides the observation of a colour change from the dark Ni metal layer to the transparent NiO film, no details were provided on the structural or compositional characteristics of the formed NiO layers. Generally, the thermal oxidation of Ni metal layers can lead to the formation of voids in the oxide layer and/or of randomly oriented films, since the process initiates at the grain boundaries and then expands in all directions. The growth kinetics of NiO film seem to depend on the texture and crystallite size of the initial Ni metallic layer [87]. It has been shown that the strong (111) texture of the Ni layer results in slow NiO growth. These slow oxidation kinetics are related to the stronger resistance to oxidation of the Ni (111) planes [88]. Therefore, the NiO growth proceeds mainly from other crystallographic planes, mostly located at the grain boundaries. Indeed, most of the Ni grains have a (111) texture. This nonuniform growth results in increased surface roughness after oxidation.
The growth of NiO and CeO2 thin films on AlGaN/GaN heterostructures was carried out by MOCVD at 500 °C [23,24,25]. TEM analysis demonstrated the formation of 16 nm-thick NiO (Figure 7a,b) and 20 nm-thick CeO2 (Figure 7c,d), both compact and uniform films. Since no intermediate layers were visible at the interface, the occurrence of any interaction and/or oxidation of the substrate during the growth process was ruled out. Moreover, the (111) NiO planes were perfectly parallel to the (0001) planes of the AlGaN/GaN substrate.
The selected area electron diffraction (SAED) pattern (Figure 7b) indicated that the external spots related to the NiO were perfectly aligned to the internal ones from the AlGaN. In particular, the white spots at 2.77 Å and 1.59 Å plane distances could be related to the (100) and (110) AlGaN/GaN planes and represented the typical 0001 zone axis pattern for a hexagonal single crystal, while the red spots forming the hexagonal pattern at 1.47 Å can be related to the (220) NiO plane; thus, only the 111 NiO zone axis is visible. The NiO spots are perfectly aligned to the AlGaN/GaN spots at 1.59 Å. Hence, it is possible to conclude that an epitaxial growth of the (111) NiO planes on the (0001) substrate plane occurred. The occurrence of the epitaxial growth can be explained by considering the threefold symmetry of the (111) NiO, which makes possible an epitaxial relationship between the hexagonal (0001) planes from the AlGaN substrate and the (111) planes of the NiO film. In particular, the lattice mismatch between the two hexagonal arrangements from the NiO and AlGaN, calculated from the electron diffraction images, was about 5%. Moreover, it is worth noting that the XRD peak position of the NiO (111) reflection was very close to that of bulk NiO, thus indicating that relaxed NiO thin films with strong diffraction intensity could be obtained under the described operating conditions. Hence, it can be concluded that NiO deposited samples were epitaxial and stress-free films and possessed excellent interface quality. TEM analysis also defined the structural relationship between the deposited CeO2 films and the AlGaN/GaN substrate. A TEM cross-section image showed the formation of 20 nm-thick CeO2 film and an almost perfect film/substrate interface (Figure 7c). The presence of differently oriented grains is evident, as can be deduced by the appearance of Moiré fringes. In-plane SAED was also recorded, and diffraction patterns of three different zone axes were visible. The 0001 zone axis pattern of the substrate is represented by the white circles in Figure 7d. The CeO2 SAED pattern demonstrated that the CeO2 film grew along two different orientations, namely, the (111) and (100) directions. In fact, the 111 zone axis pattern is represented by the red spots lying at 1.93 Å plane distances, and the 100 zone axis pattern is represented by dots lying at the vertex and at the centre of each side of the yellow squares at 1.93 Å and 2.70 Å plane distances, respectively. The 100 CeO2 zone axis is represented by three equivalent configurations 30° rotated in the plane.
Hence, the NiO films (111) epitaxially grew on (0001) AlGaN/GaN substrate, while the CeO2 film was not a single crystal epitaxial layer but formed by two sets of differently oriented grains (namely, (111)-oriented and (100)-oriented grains) aligned in the (0001) substrate plane of AlGaN.
The electrical characteristics of the oriented NiO and CeO2 thin films allowed determining their experimental permittivity values. In fact, from the analysis of the C–V curves, it was possible to estimate permittivity values of 11.7 and 26 for NiO and CeO2 films, respectively. These values were very close to those of the NiO and CeO2 bulk permittivity (11.9 and 26) and properly higher than that of AlGaN alloys. These good values were probably due the oriented growth of the two films, which represented almost an “ideal” bulk system, in contrast to amorphous and/or polycrystalline films, which generally show lower values with respect the bulk materials.
Another key parameter to be considered in dielectric material integration onto WBG semiconductors is the effective density of the trapping states. The maximum of the trapping states determined in the AlGaN/GaN metal insulator semiconductor (MIS) diodes were 5 × 1012 cm−2eV1 for the CeO2 films and 6 × 1011 cm−2eV1 for the NiO films. The trapping states of the CeO2 were higher than those of the NiO, which could be attributed to the better structural characteristics of the NiO/AlGaN interface. While (111) NiO thin insulating layers seem to be an appealing choice as an epitaxial gate oxide, their integration into a real transistor has not been attempted yet.

4. Application of High-κ Oxides as Gate Dielectrics in SiC and GaN Transistors

As already mentioned in the introduction, most powered electronic devices based on silicon have used silicon dioxide (SiO2) as a gate dielectric. However, the use of SiO2 in modern devices based on WBG semiconductors can be a bottleneck for the full exploitation of the intrinsic properties of these materials because of the low value of the dielectric permittivity of SiO2.
Figure 8 shows the schematics of common insulated gate transistors based on wide band gap semiconductors (SiC and GaN), i.e., a 4H-SiC metal oxide semiconductor field effect transistor (MOSFET) (Figure 8a), an AlGaN/GaN metal insulator semiconductor high electron mobility transistor (MISHEMT) (Figure 8b), and a recessed gate hybrid AlGaN/GaN MISHEMT (Figure 8c).
A first advantage of using a high-κ dielectric in a power device is related to the distribution of the electric field at the gate dielectric region. In particular, according to Gauss’s law, the electric field in a gate dielectric Eins that is placed on a semiconductor substrate, e.g., in the gate of a transistor, is given as:
E ins = κ s κ ins E s
where κs and κins are the relative dielectric permittivity values of the semiconductor and insulator, respectively, and Es is the electric field in the semiconductor [89].
Considering as an example that the relative dielectric permittivity of 4H-SiC is 9.7 while that for SiO2 is 3.9, according to Equation (1), the electric field in the gate oxide is about a factor of 2.5 times that in the semiconductor. Hence, when the critical electric field of 4H-SiC is reached, the maximum electric field in the oxide exceeds 9 MV/cm, thus meaning that the insulator is subjected to a significant stress, and the device reliability is penalized. In recognition of this problem, it has been proposed to replace the conventional SiO2 gate dielectric by a high-κ insulator, with a permittivity comparable to that of SiC, so that the electric field in the gate dielectric would become closer to that in the semiconductor. In this way, the maximum electric field in the gate dielectric could be reduced, which should be satisfactory for reliable device operation. Moreover, the changes in the electric field distribution have a strong impact on the drift layer thickness required to sustain the targeted drain bias. In fact, using a high-permittivity gate dielectric allows using the optimal semiconductor drift region for the targeted breakdown, thus minimizing the specific on-resistance of the device.
Moreover, considering always the case of a SiC MOSFET (Figure 8a), the total specific on-resistance Ron,sp of the device is given by the sum of different contributions [89]:
R on , sp = R ch + R a + R JFET + R drift + R sub
where Rch is the channel resistance, Ra is the accumulation region resistance, RJFET is the resistance of the JFET region, Rdrift is the resistance of the drift region after the current spreading from the JFET region, and Rsub is the resistance of the n-type doped substrate.
Ra and RJFET can be minimized by appropriately scaling the device layout, and Rsub can be reduced by thinning the substrate. Hence, the control of the channel resistance contribution Rch is a critical point in 4H-SiC MOSFET fabrication. In particular, the channel resistance contribution Rch is given by:
R ch = ( L ch · p ) μ inv C ox ( V G V th )
where p is the pitch of the MOSFET elementary cell, Lch is the channel length, µinv is the mobility for electrons in the channel (inversion layer), Cox is the specific capacitance of the gate oxide, Vth is the threshold voltage, and VG is the applied gate bias. The gate oxide capacitance term Cox increases with the insulator permittivity. Hence, it has a direct impact on the channel resistance and ultimately on the device’s total resistance.
As pointed out by theoretical works [89,90], the use of high-κ is ideally desirable for future application in trench MOSFET technology [91].
One of the interesting features of the GaN semiconductor and its related AlGaN alloys is the possibility of growing AlGaN/GaN heterostructures. AlGaN/GaN heterostructures are characterized by the presence of a two-dimensional electron gas (2DEG) formed at the interface and possessing a high sheet charge density (in the order of 1013 cm−2) and a high mobility (above 1000 cm2V−1s−1) [92,93]. Moreover, GaN-based materials have a high critical electric field (above 3 MV/cm). Thanks to these unique properties, high-electron mobility transistors (HEMTs) based on AlGaN/GaN heterostructures with excellent performances have been demonstrated in recent years and are suitable candidates for high-frequency applications [94,95]. These devices are based on a Schottky barrier at the gate electrode to modulate the channel current. However, particularly for high-voltage applications in which the gate electrode is strongly reverse biased with respect to the drain, a high gate leakage current at the Schottky junction can limit the performance of these transistors [96]. Hence, a dielectric must be introduced under the gate in order to reduce the leakage current, creating a metal–insulator–semiconductor high-electron mobility transistor (MISHEMT), as schematically shown in Figure 8b. In this case, however, the choice of the gate dielectric represents a key issue for improving device performance [21,97,98] and optimizing the parasitic capacitance and the gate leakage current [19,99].
Similarly, the benefits of using high-κ materials on the characteristics of insulated gate transistors in SiC and GaN can be understood from the theoretical calculations shown in Figure 9a,b. In particular, Figure 9a shows our calculation of the threshold voltage as a function of the thickness of different high-κ dielectrics for 4H-SiC MOSFETs. As the gate dielectric thickness is increased to reduce the gate leakage current, the threshold voltage of the device (Vth) also increases. Hence, while an improvement in the off-state characteristics of the MOSFET is achieved, this is accompanied by a degradation in the on-state performance. However, using high-κ dielectrics as insulating gate materials instead of the conventional SiO2, the rate of increase in the threshold voltage with the dielectric thickness is reduced. In this way, the leakage can be reduced, with a minor side effect on the output current.
Figure 9b shows the calculation of the threshold voltage of a GaN-based MISHEMT as a function of the gate dielectric layer thickness of different high-κ insulators. In this case, the Vth of the device is negative because of the inherent normally-on nature of these devices [92]. The negative value of Vth increases with increasing thickness of the gate insulator. However, the rate of this negative shift is reduced with increasing dielectric permittivity [100]. Hence, the use of high-κ gate insulators in GaN-based MISHEMTs is beneficial for reducing the power consumption of the devices.

4.1. Binary High-κ Oxides in 4H-SiC MOSFETs

Since the band gap for SiC is three times larger than that for Si, the band offset at the SiO2/SiC interface is smaller than that in the SiO2/Si system. Hence, in SiC MOS-based systems, a higher tunnelling current than in Si is expected for a given oxide thickness [8].
Because of its high permittivity (20), hafnium oxide (HfO2) has been widely used in Si technology. Hence, this material has attracted also the attention of the SiC scientific community. In particular, the investigation started by studying the electronic structure of the HfO2/SiC interface [101]. However, it was clear that HfO2 alone is not suitable for SiC because of the low conduction band offset (in the range 0.5–0.7 eV)) at the HfO2/SiC interface, which may not provide an adequate barrier height for electron injection from the substrate [101,102]. Because of the intrinsic limitation of the band alignment, attention moved to the study of the HfO2/SiO2/SiC system [102].
Moreover, other high-κ binary oxides with larger band gaps and more favourable band alignment with SiC, such as Al2O3 [101], La2O3 [59,103], and ZrO2 [104,105], have been investigated.
In general, in order to mitigate the fundamental limitations of high-κ binary oxides, the introduction of a SiO2 interlayer between the high-κ material and SiC is often adopted [58,102].
A good survey of the literature on high-κ dielectrics for SiC was recently reported by Siddiqui et al. [106].
As described before, using high-κ dielectrics in 4H-SiC MOS-based devices can be beneficial to fully exploit the properties of the material and reduce the device’s on-resistance. However, combined interaction with the SiOx layer can give further improvements. As an example, high channel mobility in 4H-SiC MOSFETs with Al2O3 gate insulators fabricated at low temperatures by MOCVD (64 cm2V−1s−1) can be obtained when the Al2O3 gate insulator is deposited at 190 °C. According to Hino et al. [107], this result could be further improved up to an extremely high field-effect mobility of 284 cm2V−1s−1 when the 4H-SiC MOSFET was fabricated with an ultrathin thermally grown SiOx layer inserted between the Al2O3 and SiC interface [107].
On this particular aspect, the impact of a thin SiO2 layer thickness inserted between Al2O3 and SiC on the channel mobility in Al2O3/SiC MOSFETs was investigated by Hatayama et al. [108]. They demonstrated that the peak value of the field-effect mobility in Al2O3/SiO2/SiC MOSFETs could reach 300 cm2V−1s−1 for an SiO2 thickness of 1 nm. On the other hand, when the SiO2 layer increased up to 2 nm, the field-effect mobility drastically reduced to 40 cm2V−1s−1 [108], as illustrated in Figure 10.
Another possible approach is employing a semiconductor surface treatment prior to gate insulator deposition. Lichtenwalner et al. [43] reported the use of a NO annealing at 1175 or 1100 °C for 20 min of a 4H-SiC semiconductor in an attempt to control the interface state density Dit. This procedure allowed obtaining a peak field-effect mobility in 4H-SiC MOSFETs of 106 cm2V−1s−1 using an Al2O3 film deposited by ALD as gate dielectric with postdeposition annealing at 400 °C for 30 s.
However, a key aspect is the channel mobility at the operative gate bias. In fact, the remarkable peak values of the field-effect mobility are often accompanied by a rapid decrease due to an increase in the gate bias close to the value at which the device should operate. This particular phenomenon can be understood analysing the single components limiting the channel mobility. As an example, a rapid decrease in the field-effect mobility is associated with a dominant phonon-scattering mechanism, while a smooth decrease with an increase in the gate bias is associated with coulombic scattering [109,110]. In particular, Arith et al. [111] demonstrated a process for forming aluminium oxide (by ALD) as a gate insulator in 4H-SiC MOSFET that did not involve the insertion or formation of SiO2 at the interface, eliminating traps that may be present in SiO2. This was achieved with hydrogen plasma pre-treatment followed by annealing in forming gas. Hydrogen treatment was effective at reducing Dit at the interface of aluminium oxide and SiC without a SiO2 interlayer.
Clearly, because of the large differences in the mobility behaviour of the MOSFETs processed under different conditions, this topic has been strongly debated. In particular, Yoshioka et al. [47] demonstrated optimization of the interface of aluminium oxide and SiC without a SiO2 interlayer, resulting in a low Dit for the metal oxide semiconductor (MOS) capacitor of 1.7 × 1012 cm−2eV−1 at EC − Et = 0.2 eV and a peak field-effect mobility of 57 cm2V−1s−1 that was quite constant with the variation of the gate bias. Other works have tried to figure out the right combination of semiconductor surface pre-treatments and postdeposition annealing in order to improve the electrical properties of Al2O3/SiC interfaces [41,46].
Other processing steps have been explored to improve the performance of 4H-SiC MOSFETs, e.g., by appropriate manipulation of the SiO2/SiC interface. In particular, Yang et al. [112] deposited 30 nm of SiO2 by ALD and subsequently performed a postdeposition annealing (PDA) in a nitrous oxide (N2O) ambient. The highest electron mobility of 26 cm2V−1s−1 was achieved by performing PDA at 1100 °C for 40 s. The gate oxide could withstand effective fields up to 6 MV/cm within a leakage current range of 1 × 10−7 A/cm2. This value of maximum electric field was small compared to that of thermally grown SiO2, which can typically withstand up to 10 MV/cm. In another work, Yang et al. [113] inserted 1 nm of lanthanum silicate (LaSiOx) between ALD-deposited SiO2 and 4H-SiC to form a gate stack. Peak mobility of 132.6 cm2V−1s−1 was found, with three times larger current capability compared to gate oxide without La2O3, but no field oxide data were given. Figure 11 shows a summary of the discussed results.
It has to be mentioned that ternary insulators have also been investigated for MOSFET application in 4H-SiC. In particular, AlON films provided interesting and reliable results both in MOS and MOSFET applications [114,115,116]. However, ternary elements are not the focus of this review.
Very recently, Jayawardhena et al. [117] pointed out the relevance of the appropriate pre-treatment of the semiconductor to achieve reliable and stable electric characteristics by employing ALD Al2O3 films directly in contact to the bare 4H-SiC surface with no interlayers. In particular, their best results were obtained with the preparation of a nitrided surface via NO annealing, i.e., a process known to passivate surface defects, and a hydrogen exposure followed by Al2O3 deposition on the bare 4H-SiC surface [117].
A summary of the most relevant 4H-SiC MOSFETs with different high-κ gate dielectrics is reported in Table 4.

4.2. Binary High-κ Oxides for GaN-based MISHEMTs

Standard AlGaN/GaN MISHEMTs (see Figure 8b) are obtained by insertion of the dielectric between the metal gate and the AlGaN layer. The introduction of the gate dielectric, instead of a standard Schottky barrier gate, gives the advantage of reducing the leakage current that could limit the off-state and the gate voltage swing of the device [118]. A typical example of gate current reduction observed in HfO2 or CeO2 MISHEMTs is displayed in Figure 12a. Indeed, a gate leakage reduction of several orders of magnitude can be observed in both forward and reverse characteristics. This achievement allows a higher voltage swing in the device, which in turn results in a higher maximum drain current saturation value (IDSmax). Another great advantage is the very high ION/IOFF current ratio. Indeed, high ION/IOFF current ratios between 106 and 108 have been reported in AlGaN/GaN MISHEMTs. In Figure 12b, the ION/IOFF current ratio was plotted as function of the IDSmax. Interesting, two families of MISHEMTs can be observed depending on the leakage current level. Despite their non-outstanding IDSmax, some devices can exhibit very high ION/IOFF current ratios because of their very low leakage current. On the other hand, in other cases, despite slightly higher leakage current, extraordinary IDSmax values have been demonstrated. Table 5 summarizes a survey of the most promising results obtained in normally-on AlGaN/GaN MISHEMTs using different high-κ dielectrics. Indeed, not only are Al2O3 [119,120,121] and HfO2 [122,123,124,125] indicated as suitable dielectrics, but many other gate oxide layers (Y2O3 [126], HZO [127], Ta2O5 [128], La2O3 [125], ZrO2 [129,130,131], Gd2O3 [132]) have shown promising results when integrated into GaN HEMT technology.
A relevant concern often characterizing the behaviour of high-κ binary oxides is the occurrence of charge-trapping phenomena upon bias stress [39], which can be the cause of reliability issues in GaN insulated gate transistors. Nevertheless, the electron trapping inside the Al2O3 gate insulator in GaN MISHEMTs can be used to intentionally induce a positive shift in the threshold voltage and finally obtain a normally-off operation [121]. In this context, Fiorenza et al. [133] recently studied the temperature stability of these effects, demonstrating the presence of two competitive electron trapping/de-trapping mechanisms in Al2O3 films, which were likely related to the presence of oxygen vacancies in the material.
Slightly different is the case of normally-off recessed gate hybrid MISHEMTs (see Figure 8c). In this case, the AlGaN layer below the gate region is removed, interrupting the 2DEG channel and resulting in a positive threshold voltage. The gate region is formed by a metal/oxide/GaN (MOS) interface, which requires a positive gate voltage to accumulate electrons at the oxide/GaN interface to restore the channel device. Though this approach seems to solve the crucial issue of normally-off behaviour, the complexity of these systems generates additional concerns. As an example, the lack of a 2DEG channel in the gate region causes a notable increase the channel resistance, leading to a high final on-resistance (RON) and a reduced IDSmax. To avoid this problem, it is very important to achieve high electron mobility values [134]. Hence, the oxide/GaN interface quality is clearly a key aspect to ensure a high mobility, as are the morphology of the recessed gate region and the presence of electrically active defects [135]. In this context, the choice of dielectric gate becomes crucial. The use of SiO2 resulted into a poor interface quality displaying fast (interface) and slow (border) traps [136]. Dielectrics such as AlN [137], SiN [138], and their combination [139] have been also investigated as beneficial solutions to passivate surface N-vacancy, especially after recess etching damage in the gate region [140]. However, despite the good quality of the achieved interface and improved electron mobility, it was very difficult to obtain positive threshold voltages Vth well beyond the zero [137]. For these reasons, an increasing number of studies are focused on high-permittivity binary oxide layers for normally-off behaviour of AlGaN/GaN MISHEMTs. Table 6 shows the most promising results obtained in recessed gate hybrid MISHEMTs. ALD-deposited Al2O3 is one of the most diffused solutions for normally-off recessed gate hybrid MISHEMTs [141,142,143,144,145,146,147]. However, an excessive threshold voltage instability has been observed for Al2O3 gate insulators [121,148]. This phenomenon has been attributed to the large number of negative fixed charges incorporated in the gate stack [148,149]. As an alternative solution, ALD gate oxides with even higher dielectric constants, such as HfO2 [150] or ZrO2 [151,152,153], have been investigated for normally-off recessed MISHEMTs. Furthermore, in this case, trapped or fixed charges result in Vth instability issues. Other opportunities have been found in ternary oxide layers, such as HfSiOx [154] or LaHfOx [155].
Another important challenge in normally-off recessed gate hybrid MISHEMTs is the possibility of obtaining a very high saturation current IDSmax with a well positive Vth value. In Figure 13, experimental values of IDSmax are plotted as a function of the threshold voltage Vth. However, the values of IDSmax seem to decrease in correspondence with an increase in Vth, thus suggesting the existence of a trade-off between a high output current and a more positive threshold voltage. In this context, a partial recession of the AlGaN barrier layer has also been explored to realize normally-off hybrid MISHEMTs. In this way, a higher 2DEG channel density is obtained. On the other hand, a more uniform and accurate AlGaN etching process is required to obtain a positive threshold voltage and normally-off devices.
Finally, to achieve normally-off behaviour in GaN-based HEMTs, the use of appropriate gate oxides with p-type semiconducting behaviour has been proposed. In fact, similarly to the most diffused p-GaN gate approach [156], the use of a p-type semiconducting oxide can lift up the conduction band at the AlGaN/GaN interface, resulting in the depletion of the 2DEG. By applying a positive gate bias VG, it is possible to realign the conduction band of the structures, restoring the 2DEG and the channel conduction. Among these p-type semiconducting oxides, oxides such as NiO and CuO have been taken in consideration for normally-off HEMT fabrication [157,158,159]. The origin of the p-type doping of these oxides is still debated. The existence of negatively charged Cu or Ni vacancies and the presence of interstitial oxygen [160,161] have both been considered as possible causes. Moreover, the possibility of epitaxial CVD growth on an AlGaN or GaN template makes this approach for threshold voltage engineering in GaN technology interesting [23].
Table 6. Survey of literature data on normally-off recessed gate hybrid MISHEMTs with different high-κ dielectrics.
Table 6. Survey of literature data on normally-off recessed gate hybrid MISHEMTs with different high-κ dielectrics.
DielectricThickness
(nm)
VTH
(V)
Mobility
(cm2/Vs)
RON
(Ωmm or
mΩcm2)
IDSS
(mA/mm)
Ref.
Al2O33022257.8 Ωmm353[141]
383.55527 Ωmm336[142]
101.72519.8 Ωmm528[143]
202.91487.2 Ωmm585[144]
303.51709.5 Ωmm355[145]
302.51929.6 Ωmm620[146]
230.439613.3 Ωmm356[147]
HfO2301.8
(partial
recessed)
8765.2 mΩcm2411[150]
3.6
(total
recessed)
11812.2 mΩcm2146
HfSiOx152.252010.1 Ωmm519[154]
LaHfOx80.35 9.4 Ωmm648[144]
ZrO2203.9921024 Ωmm286[151]
23 2.2
(partial
recessed)
8509.2 Ωmm590[152]
161.55
(partial
recessed)
14507.1 Ωmm730[153]

5. Conclusions

High-permittivity binary oxides for silicon carbide (SiC) and gallium nitride (GaN) electronic devices have attracted significant interest in the last decade because of the potential benefit they can bring in the device performances. In particular, special attention has been placed on the most suitable deposition techniques for their synthesis and on their implementation in real device fabrication, in which all the processes must be compatible with industrial environments and scalable to large areas. Surely the most widely investigated binary oxide is Al2O3, as well as its combination with HfO2 and other materials. In fact, Al2O3 provides a good compromise among all the basic physical properties to be fulfilled by the gate dielectric for wide band gap semiconductors, namely, a dielectric constant close to that of the semiconductor, a large band gap, an appropriate band offset, a high critical electrical field, and good thermal stability. On the other hand, HfO2 and other oxides possess higher dielectric constants than Al2O3, but their band alignments and crystallization temperatures represent a concern in application. The most affirmed method for their synthesis has been demonstrated to be the ALD approach, which can be considered the deposition technique of choice for the fabrication of very thin films with high uniformity and conformal growth on large areas. All these capabilities render ALD as very appealing for industrial implementation. In this context, beyond the fundamental study on the impact of the deposition parameters on the films’ properties, the pre- and post-deposition conditions are relevant features for the development of a reliable high-κ technology for SiC and GaN. Cleaning treatments before high-κ thin film deposition, e.g., based on wet chemical solutions are the most suitable approach for both SiC and GaN substrates in order to limit the creation of interface defects. In spite of the “gentle” nature of the wet cleaning, interface states, as well as fixed charges within the binary oxides, still represent a great concern in practical applications. Hence, post-deposition and post-metallization annealing treatments need to be optimized in order to achieve the desired device performance. A common problem in SiC technology is the formation of an uncontrolled SiOx layer at the interface as well as residual carbon. Hence, the intentional Al2O3/SiO2 combination has been proposed as a possible solution, although the presence of the SiO2 interfacial layer partially reduces the advantage offered by the high-κ Al2O3. For that reason, the search for other material combinations and/or post-deposition treatments limiting the interfacial interaction has become mandatory.
In regard to GaN-based devices, the implementation of Al2O3 thin films is also the most investigated and promising solution. The interaction at the interface is limited to a partial oxidation of the substrate, which in turn might be source of electrically active defects when oxynitride bonds are present. In this case, the epitaxial growth of crystalline oxides has also been widely explored as a possible route to gate insulation in GaN-based devices, considering other oxides, such as lanthanide oxides (Gd2O3, Sc2O3, and La2O3) or NiO and CeO2. However, the main limitations of the epitaxial oxides’ implementation are the number of structural defects occurring after the initial layers and the presence of preferential leakage current paths at the grain boundaries.
In terms of practical device application, high-κ binary oxides have already been implemented in both 4H-SiC MOSFETs and GaN-based MISHEMTs, with Al2O3 being the most widely used system. In this case, while promising results in terms of channel mobility and RON have been reported, charge-trapping effects occurring in these oxides remain a limiting factor that has to be addressed by appropriate surface preparation techniques and post-annealing conditions. In particular, the integration of high-κ oxides as gate insulators in 4H-SiC MOSFETs will require optimization of the process flow, with particular attention to the thermal budget required for ohmic contact formation, which must be compatible with the crystallization temperature of the oxide.

Author Contributions

Conceptualization, R.L.N. and F.R.; methodology, P.F., G.G. and E.S.; formal analysis, P.F., G.G. and E.S.; investigation, E.S., P.F. and G.G.; resources, E.S.; data curation, E.S.; writing—original draft preparation, R.L.N., P.F. and G.G.; writing—review and editing, R.L.N. and F.R.; visualization, P.F. and G.G.; supervision, R.L.N. and F.R.; project administration, F.R.; funding acquisition, F.R. All authors have read and agreed to the published version of the manuscript.

Funding

This work was partially funded by the ECSEL-JU project WInSiC4AP (Wide Band Gap Innovative SiC for Advanced Power)—grant agreement no. 737483 and the national project EleGaNTe (Electronics on GaN-based Technologies)—PON ARS01_01007. Moreover, the authors would like to acknowledge the European project GaN4AP (GaN for Advanced Power Applications)—grant agreement no. 101007310 for funding part of their current GaN activities.

Data Availability Statement

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Acknowledgments

The authors would like to acknowledge their colleagues at CNR-IMM: F. Giannazzo and M. Vivona, for the fruitful discussions and contributions in SiC and GaN experiments, and S. Di Franco and C. Bongiorno, for the precious technical support during device fabrication and TEM analyses. M. Saggio and F. Iucolano from STMicroelectronics are greatly acknowledged for their fruitful collaboration on wide band gap semiconductor research activities. The authors also thank Graziella Malandrino of the Department of Chemistry, University of Catania, for the fruitful collaboration in the realization of NiO and CeO2 gate dielectrics by MOCVD.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Bose, K.B. Power Electronics–An Emerging Technology. IEEE Trans. Ind. Electr. 1989, 36, 403–412. [Google Scholar] [CrossRef]
  2. Brunco, D.P.; De Jaeger, B.; Eneman, G.; Mitard, J.; Hellings, G.; Satta, A.; Terzieva, V.; Souriau, L.; Leys, F.E.; Pourtois, G.; et al. Germanium MOSFET Devices: Advances in Materials Understanding, Process Development, and Electrical Performance. J. Electrochem. Soc. 2008, 155, H552–H561. [Google Scholar] [CrossRef]
  3. Wong, H.-S.P. Beyond the conventional transistor. IBM J. Res. Dev. 2002, 46, 133–168. [Google Scholar] [CrossRef]
  4. Ostling, M.; Ghandi, R.; Zetterling, C.-M. SiC power devices Present status, applications and future perspective. In Proceedings of the 2011 IEEE 23rd International Symposium on Power Semiconductor Devices and ICs, San Diego, CA, USA, 23–26 May 2011; IEEE: Piscataway, NJ, USA, 2011; pp. 10–15. [Google Scholar]
  5. Roccaforte, F.; Fiorenza, P.; Greco, G.; Nigro, R.L.; Giannazzo, F.; Iucolano, F.; Saggio, M. Emerging trends in wide band gap semiconductors (SiC and GaN) technology for power devices. Microelectron. Eng. 2018, 187–188, 66–77. [Google Scholar] [CrossRef]
  6. Chen, K.J.; Haberlen, O.; Lidow, A.; Tsai, C.L.; Ueda, T.; Uemoto, Y.; Wu, Y. GaN-on-Si Power Technology: Devices and Applications. IEEE Trans. Electron Devices 2017, 64, 779–795. [Google Scholar] [CrossRef]
  7. Roccaforte, F.; Fiorenza, P.; Nigro, R.L.; Giannazzo, F.; Greco, G. Physics and technology of gallium nitride materials for power electronics. Riv. Nuovo Cim. 2018, 41, 625–681. [Google Scholar]
  8. Kimoto, T.; Cooper, J. Fundamentals of Silicon Carbide Technology: Growth, Characterization, Devices and Applications; JohnWiley & Sons: Hoboken, NJ, USA, 2014. [Google Scholar]
  9. Roccaforte, F.; Leszczynski, M. Introduction to Gallium Nitride Properties and Applications. In Nitride Semiconductor Technology: Power Electronics and Optoelectronic Devices, 1st ed.; Roccaforte, F., Leszczyński, M., Eds.; Wiley-VCH Verlag: Weinheim, Germany; GmbH & Co. KGaA: Lippstadt, Germany, 2020; pp. 1–39. [Google Scholar]
  10. Saggio, M.; Guarnera, A.; Zanetti, E.; Rascunà, S.; Frazzetto, A.; Salinas, D.; Giannazzo, F.; Fiorenza, P.; Roccaforte, F. Industrial Approach for Next Generation of Power Devices Based on 4H-SiC. Mater. Sci. Forum 2015, 821–823, 660–666. [Google Scholar] [CrossRef]
  11. Arulkumaran, S.; Egawa, T.; Ishikawa, H.; Jimbo, T.; Sano, Y. Surface passivation effects on AlGaN/GaN high-electron-mobility transistors with SiO2, Si3N4, and silicon oxynitride. Appl. Phys. Lett. 2004, 84, 613–615. [Google Scholar] [CrossRef]
  12. Nakano, Y.; Jimbo, T. Interface properties of SiO2/n-GaN metal–insulator–semiconductor structures. Appl. Phys. Lett. 2002, 80, 4756–4758. [Google Scholar] [CrossRef]
  13. Cook, T.E.; Fulton, C.C.; Mecouch, W.; Davis, R.; Lucovsky, G.; Nemanich, R.J. Band offset measurements of the Si3N4/GaN (0001) interface. J. Appl. Phys. 2003, 94, 3949–3954. [Google Scholar] [CrossRef] [Green Version]
  14. Placidi, M.; Constant, A.; Fontserè, A.; Pausas, E.; Cortes, I.; Cordier, Y.; Mestres, N.; Pérez, R.; Zabala, M.; Millán, J.; et al. Deposited Thin SiO2 for Gate Oxide on n-Type and p-Type GaN. J. Electrochem. Soc. 2010, 157, H1008–H1013. [Google Scholar] [CrossRef]
  15. Schlom, D.G.; Guha, S.; Datta, S. Gate Oxides Beyond SiO2. MRS Bull. 2008, 33, 1017–1025. [Google Scholar] [CrossRef]
  16. Kingon, A.I.; Maria, J.-P.; Streiffer, S.K. Alternative dielectrics to silicon dioxide for memory and logic devices. Nature 2000, 406, 1032–1038. [Google Scholar] [CrossRef] [PubMed]
  17. Wallace, R.M.; Wilk, G.D. High-k dielectric material for microelectronics. Critic. Rev. Solid State Mater. Sci. 2003, 28, 231–285. [Google Scholar] [CrossRef]
  18. Wilk, G.D.; Wallace, R.; Anthony, J.M. High-κ gate dielectrics: Current status and materials properties considerations. J. Appl. Phys. 2001, 89, 5243–5275. [Google Scholar] [CrossRef]
  19. Roccaforte, F.; Fiorenza, P.; Greco, G.; Vivona, M.; Nigro, R.L.; Giannazzo, F.; Patti, A.; Saggio, M. Recent advances on dielectrics technology for SiC and GaN power devices. Appl. Surf. Sci. 2014, 301, 9–18. [Google Scholar] [CrossRef]
  20. Gaskins, J.T.; Hopkins, P.E.; Merrill, D.R.; Bauers, S.R.; Hadland, E.; Johnson, D.C.; Koh, D.; Yum, J.H.; Banerjee, S.; Nordell, B.J.; et al. Review—Investigation and Review of the Thermal, Mechanical, Electrical, Optical, and Structural Properties of Atomic Layer Deposited High-kDielectrics: Beryllium Oxide, Aluminum Oxide, Hafnium Oxide, and Aluminum Nitride. ECS J. Solid State Sci. Technol. 2017, 6, N189–N208. [Google Scholar] [CrossRef] [Green Version]
  21. Ye, P.D.; Yang, B.; Ng, K.K.; Bude, J.; Wilk, G.D.; Halder, S.; Hwang, J.C.M. GaN metal-oxide-semiconductor high-electron-mobility-transistor with atomic layer deposited Al2O3 as gate dielectric. Appl. Phys. Lett. 2005, 86, 63501. [Google Scholar] [CrossRef] [Green Version]
  22. Yue, Y.; Hao, Y.; Zhang, J.; Ni, J.; Mao, W.; Feng, Q.; Liu, L. AlGaN/GaN MOS-HEMT with HfO2 Dielectric and Al2O3 Interfacial Passivation Layer Grown by Atomic Layer Deposition. IEEE Electron Device Lett. 2008, 29, 838–840. [Google Scholar] [CrossRef]
  23. Roccaforte, F.; Greco, G.; Fiorenza, P.; Raineri, V.; Malandrino, G.; Nigro, R.L. Epitaxial NiO gate dielectric on AlGaN/GaN heterostructures. Appl. Phys. Lett. 2012, 100, 63511. [Google Scholar] [CrossRef]
  24. Fiorenza, P.; Greco, G.; Giannazzo, F.; Nigro, R.L.; Roccaforte, F. Poole-Frenkel emission in epitaxial nickel oxide on AlGaN/GaN heterostructures. Appl. Phys. Lett. 2012, 101, 172901. [Google Scholar] [CrossRef]
  25. Fiorenza, P.; Greco, G.; Fisichella, G.; Roccaforte, F.; Malandrino, G.; Nigro, R.L. High permittivity cerium oxide thin films on AlGaN/GaN heterostructures. Appl. Phys. Lett. 2013, 103, 112905. [Google Scholar] [CrossRef]
  26. Milanov, A.P.; Xu, K.; Cwik, S.; Parala, H.; Arcos, T.D.L.; Becker, H.-W.; Rogalla, D.; Cross, R.; Paul, S.; Devi, A. Sc2O3, Er2O3, and Y2O3 thin films by MOCVD from volatile guanidinate class of rare-earth precursors. Dalton Trans. 2012, 41, 13936–13947. [Google Scholar] [CrossRef] [PubMed]
  27. Chen, J.-J.; Gila, B.P.; Hlad, M.; Gerger, A.; Ren, F.; Abernathy, C.R.; Pearton, S. Band offsets in the Sc2O3/GaN heterojunction system. Appl. Phys. Lett. 2006, 88, 142115. [Google Scholar] [CrossRef]
  28. Prokofiev, A.; Shelykh, A.; Melekh, B. Periodicity in the band gap variation of Ln2X3 (X = O, S, Se) in the lanthanide series. J. Alloy. Compd. 1996, 242, 41–44. [Google Scholar] [CrossRef]
  29. Fanciulli, M.; Scarel, G. Rare Earth Oxide Thin Films; Springer: Berlin/Heidelberg, Germany, 2007. [Google Scholar]
  30. Fiedler, A.; Schewski, R.; Galazka, Z.; Irmscher, K. Static Dielectric Constant of β-Ga2O3 Perpendicular to the Principal Planes (100), (010), and (001). ECS J. Solid State Sci. Technol. 2019, 8, Q3083–Q3085. [Google Scholar] [CrossRef]
  31. Kääriäinen, T.; Cameron, D.; Kääriäinen, M.L.; Sherman, A. Atomic Layer Deposition, Principles Characteristics and Nanotechnolody Applications; Scrivener, M., Carmical, P., Eds.; John Wiley & Sons: Hoboken, NJ, USA, 2013. [Google Scholar]
  32. Ahvenniemi, E.; Akbashev, A.R.; Ali, S.; Bechelany, M.; Berdova, M.; Boyadjiev, S.; Cameron, D.C.; Chen, R.; Chubarov, M.; Cremers, V.; et al. Review Article: Recommended reading list of early publications on atomic layer deposition—Outcome of the Virtual Project on the History of ALD. J. Vac. Sci. Technol. A Vac. Surf. Film. 2017, 35, 10801. [Google Scholar] [CrossRef] [Green Version]
  33. Shukla, M.; Dutta, G.; Mannam, R.; DasGupta, N. Electrical properties of reactive-ion-sputtered Al2O3 on 4H-SiC. Thin Solid Film. 2016, 607, 1–6. [Google Scholar] [CrossRef]
  34. Paskaleva, A.; Ciechonski, R.R.; Syväjärvi, M.; Atanassova, E.; Yakimova, R. Electrical behavior of 4H-SiC metal-oxide-semiconductor structures with Al2O3 as gate dielectric. J. Appl. Phys. 2005, 97, 124507. [Google Scholar] [CrossRef]
  35. Fiorenza, P.; Vivona, M.; Di Franco, S.; Smecca, E.; Sanzaro, S.; Alberti, A.; Saggio, M.; Roccaforte, F. Properties of Al2O3 thin films deposited on 4H-SiC by reactive ion sputtering. Mater. Sci. Semicond. Process. 2019, 93, 290–294. [Google Scholar] [CrossRef] [Green Version]
  36. Khosa, R.Y.; Thorsteinsson, E.B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.U.; Sveinbjörnsson, E.Ö. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC. AIP Adv. 2018, 8, 25304. [Google Scholar] [CrossRef] [Green Version]
  37. Wolborski, M.; Bakowski, M.; Ortiz, A.; Pore, V.; Schöner, A.; Ritala, M.; Leskelä, M.; Hallén, A. Characterisation of the Al2O3 films deposited by ultrasonic spray pyrolysis and atomic layer deposition methods for passivation of 4H–SiC devices. Microelectron. Reliab. 2006, 46, 743–755. [Google Scholar] [CrossRef]
  38. Huang, S.-W.; Hwu, J.-G. Ultrathin Aluminum Oxide Gate Dielectric on N-Type 4H-SiC Prepared by Low Thermal Budget Nitric Acid Oxidation. IEEE Trans. Electron Devices 2004, 51, 1877–1882. [Google Scholar] [CrossRef]
  39. Schilirò, E.; Nigro, R.L.; Fiorenza, P.; Roccaforte, F. Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC. AIP Adv. 2016, 6, 75021. [Google Scholar] [CrossRef] [Green Version]
  40. Schilirò, E.; Fiorenza, P.; Di Franco, S.; Bongiorno, C.; Saggio, M.; Roccaforte, F.; Nigro, R.L. Effect of SiO2 interlayer on the properties of Al2O3 thin films grown by plasma enhanced atomic layer deposition on 4H-SiC substrates. Phys. Status Solidi 2016, 214, 1600365. [Google Scholar] [CrossRef]
  41. Tanner, C.M.; Perng, Y.-C.; Frewin, C.L.; Saddow, S.E.; Chang, J.P. Electrical performance of Al2O3 gate dielectric films deposited by atomic layer deposition on 4H-SiC. Appl. Phys. Lett. 2007, 91, 203510. [Google Scholar] [CrossRef]
  42. Avice, M.; Grossner, U.; Pintilie, I.; Svensson, B.G.; Servidori, M.; Nipoti, R.; Nilsen, O.; Fjellvåg, H. Electrical properties of Al2O3/4H-SiC structures grown by atomic layer chemical vapor deposition. J. Appl. Phys. 2007, 102, 54513. [Google Scholar] [CrossRef]
  43. Lichtenwalner, D.J.; Misra, V.; Dhar, S.; Ryu, S.-H.; Agarwal, A. High-mobility enhancement-mode 4H-SiC lateral field-effect transistors utilizing atomic layer deposited Al2O3 gate dielectric. Appl. Phys. Lett. 2009, 95, 152113. [Google Scholar] [CrossRef]
  44. Cheong, K.Y.; Moon, J.H.; Kim, H.J.; Bahng, W.; Kim, N.-K. Analysis of current conduction mechanisms in atomic-layer-deposited Al2O3 gate on 4H silicon carbide. Appl. Phys. Lett. 2007, 90, 162113. [Google Scholar] [CrossRef]
  45. Kern, W.; Puotinen, D.P. Cleaning Solutions Based on Hydrogen Peroxide for use in Silicon Semiconductor Technology. RCA Rev. 1970, 31, 187. [Google Scholar]
  46. Suvanam, S.S.; Usman, M.; Martin, D.; Yazdi, M.G.; Linnarsson, M.K.; Tempez, A.; Gotelid, M.; Hallen, A. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC. Appl. Surf. Sci. 2018, 433, 108–115. [Google Scholar] [CrossRef]
  47. Yoshioka, H.; Yamazaki, M.; Harada, S. Reduction of interface states by hydrogen treatment at the aluminum oxide/4H-SiC Si-face interface. AIP Adv. 2016, 6, 105206. [Google Scholar] [CrossRef] [Green Version]
  48. Plummer, J.D.; Deal, M.; Griffin, P.D. Silicon VLSI Technology: Fundamentals, Practice and Modelling, 1st ed.; Prentice Hall: Hoboken, NJ, USA, 2000. [Google Scholar]
  49. Heo, S.C.; Lim, D.; Jung, W.S.; Choi, R.; Yu, H.-Y.; Choi, C. Remote plasma atomic layer deposited Al2O3 4H SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing. Microelectron. Eng. 2015, 147, 239–243. [Google Scholar] [CrossRef]
  50. Wang, Y.-Y.; Shen, H.-J.; Bai, Y.; Tang, Y.-D.; Liu, K.-A.; Li, C.-Z.; Liu, X.-Y. Influences of high-temperature annealing on atomic layer deposited Al2O3/4H-SiC. Chin. Phys. B 2013, 22, 78102. [Google Scholar] [CrossRef]
  51. Idris, M.I.; Wright, N.G.; Horsfall, A.B. Effect of Post Oxide Annealing on the Electrical and Interface 4H-SiC/Al2O3 MOS Capacitors. Mater. Sci. Forum 2018, 924, 486–489. [Google Scholar] [CrossRef]
  52. Linnarsson, M.K.; Hallén, A.; Khartsev, S.; Suvanam, S.S.; Usman, M. Interface between Al2O3 and 4H-SiC investigated by time-of-flight medium energy ion scattering. J. Phys. D Appl. Phys. 2017, 50, 495111. [Google Scholar] [CrossRef]
  53. Liu, X.-Y.; Wang, Y.-Y.; Peng, Z.-Y.; Li, C.-Z.; Wu, J.; Bai, Y.; Tang, Y.-D.; Liu, K.-A.; Shen, H.-J. Charge trapping behavior and its origin in Al2O3/SiC MIS system. Chin. Phys. B 2015, 24, 87304. [Google Scholar] [CrossRef]
  54. Usman, M.; Suvanam, S.S.; Yazdi, M.G.; Göthelid, M.; Sultan, M.; Hallén, A. Stoichiometry of the ALD-Al2O3/4H–SiC interface by synchrotron-based XPS. J. Phys. D Appl. Phys. 2016, 49, 255308. [Google Scholar] [CrossRef]
  55. Usman, M.; Suvanam, S.S.; Linnarsson, M.; Hallén, A. Improving the quality of Al2O3/4H-SiC interface for device applications. Mater. Sci. Semicond. Process. 2018, 81, 118–121. [Google Scholar] [CrossRef]
  56. Cheong, K.Y.; Moon, J.H.; Kim, H.J.; Bahng, W.; Kim, N.-K. Current conduction mechanisms in atomic-layer-deposited HfO2/nitrided SiO2 stacked gate on 4H silicon carbide. J. Appl. Phys. 2008, 103, 84113. [Google Scholar] [CrossRef]
  57. Cheong, K.Y.; Moon, J.H.; Park, T.J.; Kim, J.H.; Hwang, C.S.; Kim, H.J.; Bahng, W.; Kim, N.-K. Improved Electronic Performance of HfO2/SiO2 Stacking Gate Dielectric on 4H SiC. IEEE Trans. Electron Devices 2007, 54, 3409–3413. [Google Scholar] [CrossRef]
  58. Afanas’Ev, V.V.; Stesmans, A.; Chen, F.; Campbell, S.A.; Smith, R. HfO2-based insulating stacks on 4H–SiC(0001). Appl. Phys. Lett. 2003, 82, 922. [Google Scholar] [CrossRef]
  59. Moon, J.H.; Eom, D.I.; No, S.Y.; Song, H.K.; Yim, J.H.; Na, H.J.; Lee, J.B.; Kim, H.J. Electrical Properties of the La2O3/4H-SiC Interface Prepared by Atomic Layer Deposition Using La(iPrCp)3 and H2O. Mater. Sci. Forum 2006, 527–529, 1083. [Google Scholar] [CrossRef]
  60. Wang, Y.; Jia, R.; Zhao, Y.; Li, C.; Zhang, Y. Investigation of Leakage Current Mechanisms in La2O3/SiO2/4H-SiC MOS Capacitors with Varied SiO2 Thickness. J. Electron. Mater. 2016, 45, 5600–5605. [Google Scholar] [CrossRef]
  61. Zhao, P.; Rusli; Lok, B.; Lai, F.; Tin, C.; Zhao, J.; Yar, R. Investigation of Ta2O5/SiO2/4H-SiC MIS capacitors. Microelectron. Eng. 2005, 83, 58–60. [Google Scholar] [CrossRef]
  62. Wolborski, M.; Bakowski, M.; Pore, V.; Ritala, M.; Leskelä, M.; Schöner, A.; Hallén, A. Characterization of Aluminium and Titanium Oxides Deposited on 4H-SiC by Atomic Layer Deposition Technique. Mater. Sci. Forum 2005, 483–485, 701–704. [Google Scholar] [CrossRef]
  63. Nigro, R.L.; Schilirò, E.; Fiorenza, P.; Roccaforte, F. Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices. J. Vac. Sci. Technol. A 2020, 38, 32410. [Google Scholar] [CrossRef] [Green Version]
  64. Usman, M.; Henkel, C.; Hallén, A. HfO2/Al2O3 Bilayered High-kDielectric for Passivation and Gate Insulator in 4H-SiC Devices. ECS J. Solid State Sci. Technol. 2013, 2, N3087–N3091. [Google Scholar] [CrossRef]
  65. Zhao, F.; Amnuayphol, O.; Cheong, K.Y.; Wong, Y.H.; Jiang, J.-Y.; Huang, C.-F. Post deposition annealing effect on properties of Y2O3/Al2O3 stacking gate dielectric on 4H-SiC. Mater. Lett. 2019, 245, 174–177. [Google Scholar] [CrossRef]
  66. Król, K.; Sochacki, M.; Taube, A.; Kwietniewski, N.; Gierałtowska, S.; Wachnicki, Ł.; Godlewski, M.; Szmidt, J. Influence of Atomic Layer Deposition Temperature on the Electrical Properties of Al/ZrO2 /SiO2 /4H-SiC Metal-Oxide Semiconductor Structures. Phys. Status Solidi 2018, 215, 1700882. [Google Scholar] [CrossRef]
  67. Kerr, A.J.; Chagarov, E.; Gu, S.; Kaufman-Osborn, T.; Madisetti, S.; Wu, J.; Asbeck, P.M.; Oktyabrsky, S.; Kummel, A.C. Preparation of gallium nitride surfaces for atomic layer deposition of aluminum oxide. J. Chem. Phys. 2014, 141, 104702. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  68. Eller, B.S.; Yang, J.; Nemanich, R.J. Electronic surface and dielectric interface states on GaN and AlGaN. J. Vac. Sci. Technol. A Vac. Surf. Film. 2013, 31, 50807. [Google Scholar] [CrossRef]
  69. Nepal, N.; Garces, N.Y.; Meyer, D.J.; Hite, J.; Mastro, M.A.; Eddy, J.C.R. Assessment of GaN Surface Pretreatment for Atomic Layer Deposited High-kDielectrics. Appl. Phys. Express 2011, 4, 55802. [Google Scholar] [CrossRef]
  70. Duan, T.L.; Pan, J.S.; Ang, D.S. Interfacial chemistry and valence band offset between GaN and Al2O3 studied by X-ray photoelectron spectroscopy. Appl. Phys. Lett. 2013, 102, 201604. [Google Scholar] [CrossRef]
  71. Brennan, B.; Qin, X.; Dong, H.; Kim, J.; Wallace, R.M. In Situ atomic layer deposition half cycle study of Al2O3 growth on AlGaN. Appl. Phys. Lett. 2012, 101, 211604. [Google Scholar] [CrossRef] [Green Version]
  72. Schilirò, E.; Fiorenza, P.; Greco, G.; Roccaforte, F.; Nigro, R.L. Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments. J. Vac. Sci. Technol. A 2017, 35, 1B140. [Google Scholar] [CrossRef]
  73. Qin, X.; Dong, H.; Brennan, B.; Azacatl, A.; Kim, J.; Wallace, R.M. Impact of N2 and forming gas plasma exposure on the growth and interfacial characteristics of Al2O3 on AlGaN. Appl. Phys. Lett. 2013, 103, 221604. [Google Scholar] [CrossRef]
  74. Long, R.D.; McIntyre, P.C. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices. Materials 2012, 5, 1297–1335. [Google Scholar] [CrossRef]
  75. Qin, X.; Dong, H.; Kim, J.; Wallace, R. A crystalline oxide passivation for Al2O3/AlGaN/GaN. Appl. Phys. Lett. 2014, 105, 141604. [Google Scholar] [CrossRef] [Green Version]
  76. Qin, X.; Wallace, R.M. In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors. Appl. Phys. Lett. 2015, 107, 81608. [Google Scholar] [CrossRef] [Green Version]
  77. Benrabah, S.; Legallais, M.; Besson, P.; Ruel, S.; Vauche, L.; Pelissier, B.; Thieuleux, C.; Salem, B.; Charles, M. H3PO4-based wet chemical etching for recovery of dry-etched GaN surfaces. Appl. Surf. Sci. 2021, 28, 152309. [Google Scholar] [CrossRef]
  78. Vauche, L.; Chanuel, A.; Martinez, E.; Roure, M.-C.; Le Royer, C.; Bécu, S.; Gwoziecki, R.; Plissonnier, M. Study of an Al2O3/GaN Interface for Normally Off MOS-Channel High-Electron-Mobility Transistors Using XPS Characterization: The Impact of Wet Surface Treatment on Threshold Voltage VTH. ACS Appl. Electron. Mater. 2021, 3, 1170–1177. [Google Scholar] [CrossRef]
  79. Schilirò, E.; Fiorenza, P.; Greco, G.; Monforte, F.; Condorelli, G.G.; Roccaforte, F.; Giannazzo, F.; Nigro, R.L. Early Growth Stages of Aluminum Oxide (Al2O3) Insulating Layers by Thermal- and Plasma-Enhanced Atomic Layer Deposition on AlGaN/GaN Heterostructures. ACS Appl. Electron. Mater. 2021; in press. [Google Scholar] [CrossRef]
  80. Tanner, C.M.; Toney, M.F.; Lu, J.; Blom, H.-O.; Sawkar-Mathur, M.; Tafesse, M.A.; Chang, J.P. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC. J. Appl. Phys. 2007, 102, 104112. [Google Scholar] [CrossRef]
  81. Nigro, R.L.; Fiorenza, P.; Catalano, M.R.; Fisichella, G.; Roccaforte, F.; Malandrino, G. Binary and complex oxide thin films for microelectronic applications: An insight into their growth and advanced nanoscopic investigation. Surf. Coat. Technol. 2013, 230, 152–162. [Google Scholar] [CrossRef]
  82. Sarkar, R.; Bhunia, S.; Nag, D.; Barik, B.C.; Das Gupta, K.; Saha, D.; Ganguly, S.; Laha, A.; Lemettinen, J.; Kauppinen, C.; et al. Epi-Gd2O3/AlGaN/GaN MOS HEMT on 150 mm Si wafer: A fully epitaxial system for high power application. Appl. Phys. Lett. 2019, 115, 63502. [Google Scholar] [CrossRef]
  83. Jur, J.S.; Wheeler, V.D.; Lichtenwalner, D.J.; Maria, J.-P.; Johnson, M.A.L. Epitaxial growth of lanthanide oxides La2O3 and Sc2O3 on GaN. Appl. Phys. Lett. 2011, 98, 42902. [Google Scholar] [CrossRef]
  84. Herrero, A.M.; Gila, B.P.; Abernathy, C.R.; Pearton, S.; Craciun, V.; Siebein, K.; Ren, F. Epitaxial growth of Sc2O3 films on GaN. Appl. Phys. Lett. 2006, 89, 92117. [Google Scholar] [CrossRef]
  85. Nigro, R.L.; Greco, G.; Swanson, L.; Fisichella, G.; Fiorenza, P.; Giannazzo, F.; Di Franco, S.; Bongiorno, C.; Marino, A.; Malandrino, G.; et al. Potentialities of Nickel Oxide as Dielectric for GaN and SiC Devices. Mater. Sci. Forum 2013, 740–742, 777–780. [Google Scholar] [CrossRef]
  86. Oh, C.S.; Youn, C.J.; Yang, G.M.; Lim, K.Y.; Yang, J.W. AlGaN/GaNAlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistor with oxidized Ni as a gate insulator. Appl. Phys. Lett. 2004, 85, 4214–4216. [Google Scholar] [CrossRef]
  87. Courtade, L.; Turquat, C.; Muller, C.; Lisoni, J.; Goux, L.; Wouters, D.; Goguenheim, D.; Roussel, P.; Ortega, L. Oxidation kinetics of Ni metallic films: Formation of NiO-based resistive switching structures. Thin Solid Film. 2008, 516, 4083–4092. [Google Scholar] [CrossRef] [Green Version]
  88. Graham, M.J.; Hussey, R.J.; Cohen, M. Influence of Oxide Structure on the Oxidation Rate of Nickel Single Crystals. J. Electrochem. Soc. 1973, 120, 1523–1529. [Google Scholar] [CrossRef]
  89. Baliga, B.J. Silicon Carbide Power Devices; World Scientific Co. Pte. Ltd.: Singapore, 2005. [Google Scholar]
  90. Suri, R.; Kirkpatrick, C.J.; Lichtenwalner, D.J.; Misra, V. Energy-band alignment of Al2O3 and HfAlO gate dielectrics deposited by atomic layer deposition on 4H–SiC. Appl. Phys. Lett. 2010, 96, 42903. [Google Scholar] [CrossRef]
  91. Wright, N.G.; Poolamai, N.; Vassilevski, K.; Horsfall, A.B.; Johnson, C.M. Benefits of High-k Dielectrics in 4H-SiC Trench MOSFETs. Mater. Sci. Forum 2004, 457–460, 1433–1436. [Google Scholar] [CrossRef]
  92. Ambacher, O.; Smart, J.A.; Shealy, J.R.; Weimann, N.; Chu, K.; Murphy, M.J.; Schaff, W.J.; Eastman, L.F.; Dimitrov, R.; Wittmer, L.L.; et al. Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures. J. Appl. Phys. 1999, 85, 3222–3233. [Google Scholar] [CrossRef] [Green Version]
  93. Ibbetson, J.P.; Fini, P.T.; Ness, K.D.; DenBaars, S.P.; Speck, J.S.; Mishra, U.K. Polarization effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field effect transistors. Appl. Phys. Lett. 2000, 77, 250–252. [Google Scholar] [CrossRef]
  94. Mishra, U.K.; Likun, S.; Kazior, T.E.; Wu, Y.-F. GaN-Based RF Power Devices and Amplifiers. Proc. IEEE 2008, 96, 287–305. [Google Scholar] [CrossRef]
  95. Ishida, M.; Uemoto, Y.; Ueda, T.; Tanaka, T.; Ueda, D. GaN power switching devices. In Proceedings of the 2010 International Power Electronics Conference-ECCE ASIA, Sapporo, Japan, 21–24 June 2010; pp. 1014–1017. [Google Scholar] [CrossRef]
  96. Kikkawa, T.; Makiyama, K.; Ohki, T.; Kanamura, M.; Imanishi, K.; Hara, N.; Joshin, K. High performance and high reliability AlGaN/GaN HEMTs. Phys. Status Solidi 2009, 206, 1135–1144. [Google Scholar] [CrossRef]
  97. Chang, Y.C.; Chang, W.H.; Chiu, H.C.; Tung, L.T.; Lee, C.H.; Shiu, K.H.; Hong, M.; Kwo, J.; Hong, J.M.; Tsai, C.C. Inversion-channel GaN metal-oxide-semiconductor field-effect transistor with atomic-layer-deposited Al2O3 as gate dielectric. Appl. Phys. Lett. 2008, 93, 53504. [Google Scholar] [CrossRef]
  98. Hashizume, T.; Ootomo, S.; Hasegawa, H. Suppression of current collapse in insulated gate AlGaN/GaN heterostructure field-effect transistors using ultrathin Al2O3 dielectric. Appl. Phys. Lett. 2003, 83, 2952–2954. [Google Scholar] [CrossRef] [Green Version]
  99. Chen, K.J.; Zhou, C. Enhancement-mode AlGaN/GaN HEMT and MIS-HEMT technology. Phys. Status Solidi A 2011, 208, 434. [Google Scholar] [CrossRef]
  100. Roccaforte, F.; Fiorenza, P.; Greco, G.; Nigro, R.L.; Giannazzo, F.; Patti, A.; Saggio, M. Challenges for energy efficient wide band gap semiconductor power devices. Phys. Status Solidi 2014, 211, 2063–2071. [Google Scholar] [CrossRef]
  101. Tanner, C.M.; Choi, J.; Chang, J.P. Electronic structure and band alignment at the HfO2/4H-SiC interface. J. Appl. Phys. 2007, 101, 34108. [Google Scholar] [CrossRef]
  102. Mahapatra, R.; Chakraborty, A.K.; Horsfall, A.B.; Wright, N.; Beamson, G.; Coleman, K. Energy-band alignment of HfO2/SiO2/SiC gate dielectric stack. Appl. Phys. Lett. 2008, 92, 42904. [Google Scholar] [CrossRef] [Green Version]
  103. Wang, Y.; Jia, R.; Li, C.; Zhang, Y. Electric properties of La2O3/SiO2/4H-SiC MOS capacitors with different annealing temperatures. AIP Adv. 2015, 5, 87166. [Google Scholar] [CrossRef]
  104. Chan, L.S.; Chang, Y.H.; Lee, K.Y. Analysis of C-Face 4H-SiC MOS Capacitors with ZrO2 Gate Dielectric. Mater. Sci. Forum 2014, 778–780, 635–638. [Google Scholar] [CrossRef]
  105. Kwietniewski, N.; Masłyk, M.; Werbowy, A.; Taube, A.; Gierałtowska, S.; Wachnicki, Ł.; Sochacki, M. Electrical characterization of ZnO/4H-SiC n-p heterojunction diode. Phys. Status Solidi 2016, 213, 1120–1124. [Google Scholar] [CrossRef]
  106. Siddiqui, A.; Khosa, R.Y.; Usman, M. High-k dielectrics for 4H-silicon carbide: Present status and future perspectives. J. Mater. Chem. C 2021, 9, 5055–5081. [Google Scholar] [CrossRef]
  107. Hino, S.; Hatayama, T.; Kato, J.; Tokumitsu, E.; Miura, N.; Oomori, T. High channel mobility 4H-SiC metal-oxide-semiconductor field-effect transistor with low temperature metal-organic chemical-vapor deposition grown Al2O3 gate insulator. Appl. Phys. Lett. 2008, 92, 183503. [Google Scholar] [CrossRef]
  108. Hatayama, T.; Hino, S.; Miura, N.; Oomori, T.; Tokumitsu, E. Remarkable Increase in the Channel Mobility of SiC-MOSFETs by Controlling the Interfacial SiO2 Layer Between Al2O3 and SiC. IEEE Trans. Electron Devices 2008, 55, 2041–2045. [Google Scholar] [CrossRef]
  109. Fiorenza, P.; Greco, G.; Iucolano, F.; Patti, A.; Roccaforte, F. Channel Mobility in GaN Hybrid MOS-HEMT Using SiO2 as Gate Insulator. IEEE Trans. Electron Devices 2017, 64, 2893–2899. [Google Scholar] [CrossRef]
  110. Frazzetto, A.; Giannazzo, F.; Fiorenza, P.; Raineri, V.; Roccaforte, F. Limiting mechanism of inversion channel mobility in Al-implanted lateral 4H-SiC metal-oxide semiconductor field-effect transistors. Appl. Phys. Lett. 2011, 99, 72117. [Google Scholar] [CrossRef]
  111. Arith, F.; Urresti, J.; Vasilevskiy, K.; Olsen, S.; Wright, N.; O’Neill, A. Increased Mobility in Enhancement Mode 4H-SiC MOSFET Using a Thin SiO2/Al2O3 Gate Stack. IEEE Electron Device Lett. 2018, 39, 564–567. [Google Scholar] [CrossRef]
  112. Yang, X.; Lee, B.; Misra, V. Electrical Characteristics of SiO2 Deposited by Atomic Layer Deposition on 4H–SiC After Nitrous Oxide Anneal. IEEE Trans. Electron Devices 2016, 63, 2826–2830. [Google Scholar] [CrossRef]
  113. Yang, X.; Lee, B.; Misra, V. High Mobility 4H-SiC Lateral MOSFETs Using Lanthanum Silicate and Atomic Layer Deposited SiO2. IEEE Electron Device Lett. 2015, 36, 312–314. [Google Scholar] [CrossRef]
  114. Watanabe, H.; Kirino, T.; Uenishi, Y.; Chanthaphan, A.; Yoshigoe, A.; Teraoka, Y.; Mitani, S.; Nakano, Y.; Nakamura, T.; Hosoi, T.; et al. Impact of Stacked AlON/SiO2 Gate Dielectrics for SiC Power Devices. ECS Trans. 2011, 35, 265–274. [Google Scholar] [CrossRef]
  115. Hosoi, T.; Kagei, Y.; Kirino, T.; Watanabe, Y.; Kozono, K.; Mitani, S.; Nakano, Y.; Nakamura, T.; Watanabe, H. Improved Characteristics of 4H-SiC MISFET with AlON/Nitrided SiO2 Stacked Gate Dielectrics. Mater. Sci. Forum 2010, 645–648, 991–994. [Google Scholar] [CrossRef]
  116. Chanthaphan, A.; Hosoi, T.; Nakano, Y.; Nakamura, T.; Shimura, T.; Watanabe, H. Improved bias-temperature instability characteristics in SiC metal-oxide-semiconductor devices with aluminum oxynitride dielectrics. Appl. Phys. Lett. 2014, 104, 122105. [Google Scholar] [CrossRef]
  117. Jayawardhena, I.U.; Ramamurthy, R.P.; Morisette, D.; Ahyi, A.C.; Thorpe, R.; Kuroda, M.A.; Feldman, L.C.; Dhar, S. Effect of surface treatments on ALD Al2O3/4H-SiC metal–oxide–semiconductor field-effect transistors. J. Appl. Phys. 2021, 129, 75702. [Google Scholar] [CrossRef]
  118. Saadat, O.I.; Chung, J.W.; Piner, E.L.; Palacios, T. Gate-First AlGaN/GaN HEMT Technology for High-Frequency Applications. IEEE Electron Device Lett. 2009, 30, 1254–1256. [Google Scholar] [CrossRef]
  119. Zhang, Y.; Sun, M.; Joglekar, S.J.; Fujishima, T.; Palacios, T. Threshold voltage control by gate oxide thickness in fluorinated GaN metal-oxide-semiconductor high-electron-mobility transistors. Appl. Phys. Lett. 2013, 103, 33524. [Google Scholar] [CrossRef]
  120. Hou, B.; Ma, X.; Zhu, J.; Yang, L.; Chen, W.; Mi, M.; Zhu, Q.; Chen, L.; Zhang, R.; Zhang, M.; et al. 0.9-A/mm, 2.6-V Flash-Like Normally-Off Al2O3/AlGaN/GaN MIS-HEMTs Using Charge Trapping Technique. IEEE Electron Device Lett. 2018, 39, 397–400. [Google Scholar] [CrossRef]
  121. Schilirò, E.; Fiorenza, P.; Bongiorno, C.; Spinella, C.; Di Franco, S.; Greco, G.; Nigro, R.L.; Roccaforte, F. Correlating electron trapping and structural defects in Al2O3 thin films deposited by plasma enhanced atomic layer deposition. AIP Adv. 2020, 10, 125017. [Google Scholar] [CrossRef]
  122. Kubo, T.; Egawa, T. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing. Semicond. Sci. Technol. 2017, 32, 125016. [Google Scholar] [CrossRef]
  123. Huang, Z.; Liu, J.; Huang, X.; Yao, J.; Zhang, J.; Guo, Y. Analysis of Interface Properties in AlGaN/GaN MIS-HEMTs with HfO2 and SiNx Gate Dielectric. In Proceedings of the 2020 10th International Conference on Power and Energy Systems (ICPES), Chengdu, China, 25–27 December 2020; pp. 549–552. [Google Scholar]
  124. Liu, C.; Chor, E.F.; Tan, L.S. Investigations of HfO2/AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors. Appl. Phys. Lett. 2006, 88, 173504. [Google Scholar] [CrossRef]
  125. Lin, Y.C.; Lin, T.W.; Wu, C.H.; Yao, J.N.; Hsu, H.T.; Shih, W.C.; Kakushima, K.; Tsutsui, K.; Iwai, H.; Chang, E.Y. Optimization of gate insulator material for GaN MIS-HEMT. In Proceedings of the 2016 28th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Prague, Czech Republic, 12–16 June 2016; pp. 115–118. [Google Scholar] [CrossRef]
  126. Shi, Y.-T.; Xu, W.-Z.; Zeng, C.-K.; Ren, F.-F.; Ye, J.-D.; Zhou, D.; Chen, D.-J.; Zhang, R.; Zheng, Y.; Lu, H. High-k HfO2-Based AlGaN/GaN MIS-HEMTs With Y2O3 Interfacial Layer for High Gate Controllability and Interface Quality. IEEE J. Electron Devices Soc. 2020, 8, 15–19. [Google Scholar] [CrossRef]
  127. Cui, X.; Cheng, W.; Hua, Q.; Liang, R.; Hu, W.; Wang, Z.L. Enhanced performances of AlGaN/GaN HEMTs with dielectric engineering of HfZrOx. Nano Energy 2020, 68, 104361. [Google Scholar] [CrossRef]
  128. Kumar, S.; Kumar, H.; Vura, S.; Pratiyush, A.S.; Charan, V.S.; Dolmanan, S.B.; Tripathy, S.; Muralidharan, R.; Nath, D.N. Investigation of Ta2O5 as an Alternative High-k Dielectric for InAlN/GaN MOS-HEMT on Si. IEEE Trans. Electron Devices 2019, 66, 1230–1235. [Google Scholar] [CrossRef] [Green Version]
  129. Balachander, K.; Arulkumaran, S.; Ishikawa, H.; Baskar, K.; Egawa, T. Studies on electron beam evaporated ZrO2/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors. Phys. Status Solidi 2005, 202, R16–R18. [Google Scholar] [CrossRef]
  130. Kuzmik, J.; Pozzovivo, G.; Abermann, S.; Gonschorek, M.; Feltin, E.; Grandjean, N.; Bertagnolli, E.; Pogany, D.; Carlin, J.-F.; Strasser, G. Technology and Performance of InAlN/AlN/GaN HEMTs With Gate Insulation and Current Collapse Suppression Using ZrO2 or HfO2. IEEE Trans. Electron Devices 2008, 55, 937–941. [Google Scholar] [CrossRef]
  131. Ye, G.; Wang, H.; Arulkumaran, S.; Ng, G.I.; Hofstetter, R.; Li, Y.; Anand, M.J.; Ang, K.S.; Maung, Y.K.T.; Foo, S.C. Atomic layer deposition of ZrO2 as gate dielectrics for AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors on silicon. Appl. Phys. Lett. 2013, 103, 142109. [Google Scholar] [CrossRef]
  132. Gao, Z.; Romero, M.F.; Redondo-Cubero, A.; Pampillon, M.A.; Andres, E.S.; Calle, F. Effects of Gd2O3 Gate Dielectric on Proton-Irradiated AlGaN/GaN HEMTs. IEEE Electron Device Lett. 2017, 38, 611–614. [Google Scholar] [CrossRef]
  133. Fiorenza, P.; Schilirò, E.; Greco, G.; Vivona, M.; Cannas, M.; Giannazzo, F.; Nigro, R.L.; Roccaforte, F. Temperature and time dependent electron trapping in Al2O3 thin films onto AlGaN/GaN heterostructures. Appl. Surf. Sci. 2021, 579, 152136. [Google Scholar] [CrossRef]
  134. Li, Z.; Chow, T.P. Channel scaling of hybrid GaN MOS-HEMTs. Solid-State Electron. 2011, 56, 111–115. [Google Scholar] [CrossRef]
  135. Roccaforte, F.; Greco, G.; Fiorenza, P.; Iucolano, F. An Overview of Normally-Off GaN-Based High Electron Mobility Transistors. Materials 2019, 12, 1599. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  136. Fiorenza, P.; Greco, G.; Iucolano, F.; Patti, A.; Roccaforte, F. Slow and fast traps in metal-oxide-semiconductor capacitors fabricated on recessed AlGaN/GaN heterostructures. Appl. Phys. Lett. 2015, 106, 142903. [Google Scholar] [CrossRef]
  137. Hosoi, T.; Watanabe, K.; Nozaki, M.; Yamada, T.; Shimura, T.; Watanabe, H. Mobility enhancement in recessed-gate AlGaN/GaN MOS-HFETs using an AlON gate insulator. Jpn. J. Appl. Phys. 2019, 58, SCCD16. [Google Scholar] [CrossRef]
  138. Oka, T.; Nozawa, T. AlGaN/GaN Recessed MIS-Gate HFET With High-Threshold-Voltage Normally-Off Operation for Power Electronics Applications. IEEE Electron Device Lett. 2008, 29, 668–670. [Google Scholar] [CrossRef]
  139. Greco, G.; Fiorenza, P.; Iucolano, F.; Severino, A.; Giannazzo, F.; Roccaforte, F. Conduction Mechanisms at Interface of AlN/SiN Dielectric Stacks with AlGaN/GaN Heterostructures for Normally-off High Electron Mobility Transistors: Correlating Device Behavior with Nanoscale Interfaces Properties. ACS Appl. Mater. Interfaces 2017, 9, 35383–35390. [Google Scholar] [CrossRef]
  140. Asahara, R.; Nozaki, M.; Yamada, T.; Ito, J.; Nakazawa, S.; Ishida, M.; Ueda, T.; Yoshigoe, A.; Hosoi, T.; Shimura, T.; et al. Effect of nitrogen incorporation into Al-based gate insulators in AlON/AlGaN/GaN metal–oxide–semiconductor structures. Appl. Phys. Express 2016, 9, 101002. [Google Scholar] [CrossRef] [Green Version]
  141. Im, K.-S.; Ha, J.-B.; Kim, K.-W.; Lee, J.-S.; Kim, D.-S.; Hahm, S.-H.; Lee, J.-H. Normally off GaN MOSFET Based on AlGaN/GaN Heterostructure with Extremely High 2DEG Density Grown on Silicon Substrate. IEEE Electron Device Lett. 2010, 31, 192–194. [Google Scholar] [CrossRef]
  142. Kim, K.-W.; Jung, S.-D.; Kim, D.-S.; Kang, H.-S.; Im, K.-S.; Oh, J.-J.; Ha, J.-B.; Shin, J.-K.; Lee, J.-H. Effects of TMAH Treatment on Device Performance of Normally off Al2O3/GaN MOSFET. IEEE Electron Device Lett. 2011, 32, 1376–1378. [Google Scholar] [CrossRef]
  143. Wang, Y.; Wang, M.; Xie, B.; Wen, C.P.; Wang, J.; Hao, Y.; Wu, W.; Chen, K.J.; Shen, B. High-Performance Normally-Off Al2O3/GaN MOSFET Using a Wet Etching-Based Gate Recess Technique. IEEE Electron Device Lett. 2013, 34, 1370–1372. [Google Scholar] [CrossRef]
  144. Wang, M.; Wang, Y.; Zhang, C.; Xie, B.; Wen, C.P.; Wang, J.; Hao, Y.; Wu, W.; Chen, K.J.; Shen, B. 900 V/1.6 mΩ⋅cm2 Normally Off Al2O3/GaN MOSFET on Silicon Substrate. IEEE Trans. Electron Devices 2014, 61, 2035–2040. [Google Scholar] [CrossRef]
  145. Yao, Y.; He, Z.; Yang, F.; Shen, Z.; Zhang, J.; Ni, Y.; Li, J.; Wang, S.; Zhou, G.; Zhong, J.; et al. Normally-off GaN recessed-gate MOSFET fabricated by selective area growth technique. Appl. Phys. Express 2013, 7, 16502. [Google Scholar] [CrossRef]
  146. He, L.; Yang, F.; Li, L.; Chen, Z.; Shen, Z.; Zheng, Y.; Yao, Y.; Ni, Y.; Zhou, D.; Zhang, X.; et al. High Threshold Voltage Uniformity and Low Hysteresis Recessed-Gate Al2O3/AlN/GaN MISFET by Selective Area Growth. IEEE Trans. Electron Devices 2017, 64, 1554–1560. [Google Scholar] [CrossRef]
  147. Tao, M.; Liu, S.; Xie, B.; Wen, C.P.; Wang, J.; Hao, Y.; Wu, W.; Cheng, K.; Shen, B.; Wang, M. Characterization of 880 V Normally Off GaN MOSHEMT on Silicon Substrate Fabricated With a Plasma-Free, Self-Terminated Gate Recess Process. IEEE Trans. Electron Devices 2018, 65, 1453–1457. [Google Scholar] [CrossRef]
  148. Ťapajna, M.; Válik, L.; Gucmann, F.; Gregusova, D.; Fröhlich, K.; Haščík, Š.; Dobročka, E.; Tóth, L.; Pécz, B.; Kuzmík, J. Low-temperature atomic layer deposition-grown Al2O3 gate dielectric for GaN/AlGaN/GaN MOS HEMTs: Impact of deposition conditions on interface state density. J. Vac. Sci. Technol. B 2017, 35, 1A107. [Google Scholar] [CrossRef] [Green Version]
  149. Fiorenza, P.; Greco, G.; Schilirò, E.; Iucolano, F.; Nigro, R.L.; Roccaforte, F. Determining oxide trapped charges in Al2O3 insulating films on recessed AlGaN/GaN heterostructures by gate capacitance transients measurements. Jpn. J. Appl. Phys. 2018, 57, 050307. [Google Scholar] [CrossRef]
  150. Zhao, Y.; Wang, C.; Zheng, X.; Ma, X.; He, Y.; Liu, K.; Li, A.; Peng, Y.; Zhang, C.; Hao, Y. Effects of recess depths on performance of AlGaN/GaN power MIS-HEMTs on the Si substrates and threshold voltage model of different recess depths for the using HfO2 gate insulator. Solid-State Electron. 2020, 163, 107649. [Google Scholar] [CrossRef]
  151. Anderson, T.J.; Wheeler, V.D.; Shahin, D.I.; Tadjer, M.J.; Koehler, A.D.; Hobart, K.D.; Christou, A.; Kub, F.J.; Eddy, C.R. Enhancement mode AlGaN/GaN MOS high-electron-mobility transistors with ZrO2 gate dielectric deposited by atomic layer deposition. Appl. Phys. Express 2016, 9, 11–14. [Google Scholar] [CrossRef]
  152. Jiang, H.; Tang, C.W.; Lau, K.M. Enhancement-Mode GaN MOS-HEMTs With Recess-Free Barrier Engineering and High- k ZrO2 Gate Dielectric. IEEE Electron Device Lett. 2018, 39, 405–408. [Google Scholar] [CrossRef]
  153. Cai, Y.; Zhang, Y.; Liang, Y.; Mitrovic, I.Z.; Wen, H.; Liu, W.; Zhao, C. Low on -State Resistance Normally- off AlGaN/GaN MIS-HEMTs with Partially Recessed Gate and ZrOx. IEEE Trans. Electron Devices 2021, 68, 4310–4316. [Google Scholar] [CrossRef]
  154. Hu, Q.; Li, S.; Li, T.; Wang, X.; Li, X.; Wu, Y. Channel Engineering of Normally-OFF AlGaN/GaN MOS-HEMTs by Atomic Layer Etching and High-κ Dielectric. IEEE Electron Device Lett. 2018, 39, 1377–1380. [Google Scholar] [CrossRef]
  155. Lin, Y.C.; Huang, Y.X.; Huang, G.N.; Wu, C.H.; Yao, J.N.; Chu, C.M.; Chang, S.; Hsu, C.C.; Lee, J.H.; Kakushima, K.; et al. Enhancement-Mode GaN MIS-HEMTs With LaHfOx Gate Insulator for Power Application. IEEE Electron Device Lett. 2017, 38, 1101–1104. [Google Scholar] [CrossRef]
  156. Greco, G.; Iucolano, F.; Roccaforte, F. Review of technology for normally-off HEMTs with p-GaN gate. Mater. Sci. Semicond. Process. 2018, 78, 96–106. [Google Scholar] [CrossRef]
  157. Li, Y.; Guo, Y.; Zhang, K.; Zou, X.; Wang, J.; Kong, Y.; Chen, T.; Jiang, C.; Fang, G.; Liu, C.; et al. Positive Shift in Threshold Voltage Induced by CuO and NiOx Gate in AlGaN/GaN HEMTs. IEEE Trans. Electron Devices 2017, 64, 3139–3144. [Google Scholar] [CrossRef]
  158. Kaneko, N.; Machida, O.; Yanagihara, M.; Iwakami, S.; Baba, R.; Goto, H.; Iwabuchi, A. Normally-off AlGaN/GaN HFETs using NiOx gate with recess. In Proceedings of the 2009 21st International Symposium on Power Semiconductor Devices & IC’s, Barcelona, Spain, 14–18 June 2009; pp. 25–28. [Google Scholar] [CrossRef]
  159. Wang, L.; Li, L.; Xie, T.; Wang, X.; Liu, X.; Ao, J.-P. Threshold voltage tuning in AlGaN/GaN HFETs with p-type Cu2O gate synthesized by magnetron reactive sputtering. Appl. Surf. Sci. 2018, 437, 98–102. [Google Scholar] [CrossRef]
  160. Kohmoto, O.; Nakagawa, H.; Isagawa, Y.; Chayahara, A. Effect of heat treatment on the oxygen content and resistivity in sputtered NiO films. J. Magn. Magn. Mater. 2001, 226–230, 1629–1630. [Google Scholar] [CrossRef]
  161. Raebiger, H.; Lany, S.; Zunger, A. Origins of thep-type nature and cation deficiency in Cu2O and related materials. Phys. Rev. B 2007, 76, 45209. [Google Scholar] [CrossRef] [Green Version]
Figure 1. (a) Band gap values as a function of relative permittivity (in units of the vacuum permittivity ε0) for different insulators. The continuous line is a guide; (b) schematic illustration (in scale) of the band alignments of some common insulators with the semiconductor materials under consideration (i.e., silicon, 4H-SiC, and GaN). The light purple, green, and orange dotted lines indicate the conduction band edge of the Si, 4H-SiC, and GaN semiconductors, respectively.
Figure 1. (a) Band gap values as a function of relative permittivity (in units of the vacuum permittivity ε0) for different insulators. The continuous line is a guide; (b) schematic illustration (in scale) of the band alignments of some common insulators with the semiconductor materials under consideration (i.e., silicon, 4H-SiC, and GaN). The light purple, green, and orange dotted lines indicate the conduction band edge of the Si, 4H-SiC, and GaN semiconductors, respectively.
Materials 15 00830 g001
Figure 2. Schematic representation of the main issues affecting the functionality of high-κ binary gate oxides in a transistor.
Figure 2. Schematic representation of the main issues affecting the functionality of high-κ binary gate oxides in a transistor.
Materials 15 00830 g002
Figure 3. TEM images of Al2O3 thin films grown by PE-ALD on 4H-SiC (a) and SiO2/4H-SiC (b) substrates and their relative electrical characteristics in terms of C-V curves (c) and I-V measurements (d) performed on MOS capacitors. Black and red lines are related to Al2O3 thin films deposited on SiO2/4H-SiC and 4H-SiC substrates, respectively. Reproduced with permission from [40]. Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA.
Figure 3. TEM images of Al2O3 thin films grown by PE-ALD on 4H-SiC (a) and SiO2/4H-SiC (b) substrates and their relative electrical characteristics in terms of C-V curves (c) and I-V measurements (d) performed on MOS capacitors. Black and red lines are related to Al2O3 thin films deposited on SiO2/4H-SiC and 4H-SiC substrates, respectively. Reproduced with permission from [40]. Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA.
Materials 15 00830 g003
Figure 4. Schematic representation of the chemical impact of the different substrate surfaces on the Al2O3 nucleation processes, in the case of a bare SiC substrate (a) or a SiC substrate with a thin SiO2 layer on the top (b). Reproduced with permission from [40]. Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA.
Figure 4. Schematic representation of the chemical impact of the different substrate surfaces on the Al2O3 nucleation processes, in the case of a bare SiC substrate (a) or a SiC substrate with a thin SiO2 layer on the top (b). Reproduced with permission from [40]. Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA.
Materials 15 00830 g004
Figure 5. TEM image of (a) as deposited and (b) 800 °C annealed Al2O3/HfO2 nanolaminate, deposited onto SiO2/SiC substrate. Reproduced from [63]. Copyright © 2020 Authors.
Figure 5. TEM image of (a) as deposited and (b) 800 °C annealed Al2O3/HfO2 nanolaminate, deposited onto SiO2/SiC substrate. Reproduced from [63]. Copyright © 2020 Authors.
Materials 15 00830 g005
Figure 6. (a) High-resolution cross-section TEM image of a NiO film deposited by MOCVD on 4H-SiC at 500 °C; (b) C-V curve acquired on a NiO/4H-SiC MOS capacitor. Reproduced with permission from [81]. Copyright © 2013 Elsevier Ltd.
Figure 6. (a) High-resolution cross-section TEM image of a NiO film deposited by MOCVD on 4H-SiC at 500 °C; (b) C-V curve acquired on a NiO/4H-SiC MOS capacitor. Reproduced with permission from [81]. Copyright © 2013 Elsevier Ltd.
Materials 15 00830 g006
Figure 7. High-magnification cross-section TEM images (a) and in-plane SAED patterns (b) of NiO thin film deposited by MOCVD on AlGaN/GaN heterostructure at 500 °C. High-magnification cross-section TEM image (c) and in-plane SAED patterns (d) of CeO2 thin film deposited by MOCVD on AlGaN/GaN heterostructure at 500 °C. Panel (a): reproduced with permission from [23]. Copyright © 2012 AIP Publishing; Panel (d): reproduced with permission from [25]. Copyright © 2013 AIP Publishing.
Figure 7. High-magnification cross-section TEM images (a) and in-plane SAED patterns (b) of NiO thin film deposited by MOCVD on AlGaN/GaN heterostructure at 500 °C. High-magnification cross-section TEM image (c) and in-plane SAED patterns (d) of CeO2 thin film deposited by MOCVD on AlGaN/GaN heterostructure at 500 °C. Panel (a): reproduced with permission from [23]. Copyright © 2012 AIP Publishing; Panel (d): reproduced with permission from [25]. Copyright © 2013 AIP Publishing.
Materials 15 00830 g007
Figure 8. Schematic cross section of (a) a 4H-SiC power MOSFET, (b) an AlGaN/GaN MISHEMT, and (c) a recessed gate hybrid MISHEMT.
Figure 8. Schematic cross section of (a) a 4H-SiC power MOSFET, (b) an AlGaN/GaN MISHEMT, and (c) a recessed gate hybrid MISHEMT.
Materials 15 00830 g008
Figure 9. Calculated threshold voltage of SiC MOSFETs (a) and AlGaN/GaN MISHEMTs (b) as a function of the gate insulator layer thickness for different high-κ materials. Panel (b): reproduced with permission from [100]. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA.
Figure 9. Calculated threshold voltage of SiC MOSFETs (a) and AlGaN/GaN MISHEMTs (b) as a function of the gate insulator layer thickness for different high-κ materials. Panel (b): reproduced with permission from [100]. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA.
Materials 15 00830 g009
Figure 10. (a) Comparison between the field-effect mobility obtained in 4H-MOSFETs fabricated using Al2O3 insulators with and without an ultrathin thermally grown SiOx layer inserted between the Al2O3 and SiC interface. (b) Peak value of the field-effect mobility obtained using SiOx layers with different thicknesses. The data are taken from [107,108].
Figure 10. (a) Comparison between the field-effect mobility obtained in 4H-MOSFETs fabricated using Al2O3 insulators with and without an ultrathin thermally grown SiOx layer inserted between the Al2O3 and SiC interface. (b) Peak value of the field-effect mobility obtained using SiOx layers with different thicknesses. The data are taken from [107,108].
Materials 15 00830 g010
Figure 11. (a) Comparison between the field-effect mobility obtained in 4H-MOSFETs fabricated using Al2O3 insulators with the insertion of ultrathin thermally grown or nitridated SiO2 layers. (b) Comparison between the field-effect mobility obtained in 4H-SiC MOSFETs fabricated using SiO2 insulators with the insertion of ultrathin La2O3 layer. The data are taken from Refs. [43,111,113].
Figure 11. (a) Comparison between the field-effect mobility obtained in 4H-MOSFETs fabricated using Al2O3 insulators with the insertion of ultrathin thermally grown or nitridated SiO2 layers. (b) Comparison between the field-effect mobility obtained in 4H-SiC MOSFETs fabricated using SiO2 insulators with the insertion of ultrathin La2O3 layer. The data are taken from Refs. [43,111,113].
Materials 15 00830 g011
Figure 12. (a) Comparison of the gate current–voltage characteristics of AlGaN/GaN HEMTs (Schottky gate) and MISHEMTs employing HfO2 and CeO2 gate insulators. The data are taken from [25,118]. (b) ION/IOFF versus IDSmax for MISHEMTs using different gate oxides. The data are taken from Table 6 and references therein.
Figure 12. (a) Comparison of the gate current–voltage characteristics of AlGaN/GaN HEMTs (Schottky gate) and MISHEMTs employing HfO2 and CeO2 gate insulators. The data are taken from [25,118]. (b) ION/IOFF versus IDSmax for MISHEMTs using different gate oxides. The data are taken from Table 6 and references therein.
Materials 15 00830 g012
Figure 13. IDSmax versus Vth value for recessed hybrid MISHEMTs using different high-κ binary gate oxides. The data are taken from [141,142,143,144,145,146,147,150,151,152,153].
Figure 13. IDSmax versus Vth value for recessed hybrid MISHEMTs using different high-κ binary gate oxides. The data are taken from [141,142,143,144,145,146,147,150,151,152,153].
Materials 15 00830 g013
Table 1. Principal physical properties of high-κ gate binary oxides.
Table 1. Principal physical properties of high-κ gate binary oxides.
OxideDielectric
Constant
Band Gap (eV)Crystallization
Temperature
Ref
Al2O3109900 °C[17,18]
HfO2~205.6–5.8500 °C[17,18,22]
NiO11.74300 °C[23,24]
CeO2266500 °C[25]
Sc2O312–146.0>400 °C[26,27,28,29]
Y2O3105.5>400 °C[26,28,29]
Gd2O3~205.0–5.45>400 °C[26,28]
La2O3~205.4–5.6>400 °C[17,18,27,29]
ZrO2255.8>400 °C[17,18]
Ga2O3~105>500 °C[30]
Table 2. Comparison of the main features of the common deposition techniques for high-κ oxides in microelectronics [29,31,32].
Table 2. Comparison of the main features of the common deposition techniques for high-κ oxides in microelectronics [29,31,32].
ALDMBECVDPVD
Thickness range≤2000 Å≤2000 Å≥100 Å≥100 Å
Deposition rateLow
1–5 nm/min
High
0.01–0.3 µm/min
High
1–10 µm/h
Medium
0.1–1 µm/h
Step coverage
Aspect ratio
100%
60:1
25–50%
1:1
70%
1:1
25–50%
1:1
Deposition
temperature
25–400 °C500–1000 °C300–1100 °C200–500 °C
Film type
availability
High
(limited for
metals)
High
(limited for
Metals)
High
(limited for
metals)
High for metals and conductive materials
Table 3. Physical and structural properties of high-κ oxides epitaxially grown on GaN.
Table 3. Physical and structural properties of high-κ oxides epitaxially grown on GaN.
OxideDielectric
Constant
Lattice
Constant (Å)
Mismatch to (0001) GaN (%)Deposition
Technique
Ref.
Gd2O3910.81320.1MBE[82]
Sc2O313–149.8459.2PVD and MBE[83,84]
La2O318–274.2116.5MBE[83]
CeO215–265.4116MOCVD[25,81]
NiO11.94.177 5Thermal oxidation or MOCVD[23,24,81]
Table 4. Survey of literature data on 4H-SiC MOSFETs with different high-κ gate dielectrics.
Table 4. Survey of literature data on 4H-SiC MOSFETs with different high-κ gate dielectrics.
Gate InsulatorThickness
(nm)
Vth (V)µFE (cm2V−1s−1)Dit (cm−2eV) at
EC − Et = 0.2 eV
Ref.
Al2O3352.8648 × 1011[108]
Al2O3330.5 -3521 × 1011 cm−2 (integral)[117]
Al2O3 on SiO235 + 22.8188 × 1011[108]
35 + 0.72.83005 × 1011[108]
40 + 0.721206 × 1011[111]
25 + 1.80.8106-[43]
SiO2 on La2O330 + 13132-[113]
AlON60 + 10> 026.91 × 1011[115]
Table 5. Survey of literature data on normally-on AlGaN/GaN MISHEMTs with different high-κ gate dielectrics.
Table 5. Survey of literature data on normally-on AlGaN/GaN MISHEMTs with different high-κ gate dielectrics.
DielectricThickness
(nm)
Vth (V)IDmax
(mA/mm)
IG-leak
(mA/mm)
ION/IOFFRef.
Al2O3
25−7.01505.0 × 10−53.0 × 106[119]
15−7.07508.0 × 10−51.0 × 108[120]
30−8.0401.0 × 10−83.0 × 1010[121]
HfO220−1.14402.2 × 10−71.0 × 1010[122]
12−8.03861.1 × 10−91.1 × 109[123]
23−6.08303.0 × 10−63.0 × 108[124]
8−3.75856.5 × 10−56.9 × 106[125]
Y2O3/HfO21/12−5.06003.0 × 10−96.0 × 1011[126]
Ta2O524−9.76001.0 × 10−56.0 × 107[128]
La2O38−2.94091.0 × 10−49.7 × 105[125]
ZrO230−711685.4 × 10−42.3 × 107[129]
10−4.29002.0 × 10−44.5 × 106[130]
10−3.97903.0 × 10−52.6 × 107[131]
HfZrOx20−127056.0 × 10−41.0 × 107[127]
Gd2O34−6.57001.0 × 10−63.5 × 107[132]
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Lo Nigro, R.; Fiorenza, P.; Greco, G.; Schilirò, E.; Roccaforte, F. Structural and Insulating Behaviour of High-Permittivity Binary Oxide Thin Films for Silicon Carbide and Gallium Nitride Electronic Devices. Materials 2022, 15, 830. https://doi.org/10.3390/ma15030830

AMA Style

Lo Nigro R, Fiorenza P, Greco G, Schilirò E, Roccaforte F. Structural and Insulating Behaviour of High-Permittivity Binary Oxide Thin Films for Silicon Carbide and Gallium Nitride Electronic Devices. Materials. 2022; 15(3):830. https://doi.org/10.3390/ma15030830

Chicago/Turabian Style

Lo Nigro, Raffaella, Patrick Fiorenza, Giuseppe Greco, Emanuela Schilirò, and Fabrizio Roccaforte. 2022. "Structural and Insulating Behaviour of High-Permittivity Binary Oxide Thin Films for Silicon Carbide and Gallium Nitride Electronic Devices" Materials 15, no. 3: 830. https://doi.org/10.3390/ma15030830

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop