Next Article in Journal
Long-Term Bonding and Tensile Strengths of Carbon Textile Reinforced Mortar
Next Article in Special Issue
Analytical Study of Porous Organosilicate Glass Films Prepared from Mixtures of 1,3,5- and 1,3-Alkoxysilylbenzenes
Previous Article in Journal
Micro- and Nanoscale Spectroscopic Investigations of Threonine Influence on the Corrosion Process of the Modified Fe Surface by Cu Nanoparticles
Previous Article in Special Issue
Synthesis and Formation Mechanism of Limestone-Derived Porous Rod Hierarchical Ca-based Metal–Organic Framework for Efficient CO2 Capture
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effects of Methyl Terminal and Carbon Bridging Groups Ratio on Critical Properties of Porous Organosilicate Glass Films

1
Research and Education Center “Technological Center”, MIREA—Russian Technological University (RTU MIREA), 119454 Moscow, Russia
2
The Leibniz Institute of Surface Engineering (IOM), 04318 Leipzig, Germany
3
Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30049, Taiwan
4
National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan
5
Department of Microelectronics, North China University of Technology, Beijing 100144, China
6
Department of Physics, Dhaka University of Engineering & Technology, Gazipur 1707, Bangladesh
*
Author to whom correspondence should be addressed.
Materials 2020, 13(20), 4484; https://doi.org/10.3390/ma13204484
Submission received: 28 August 2020 / Revised: 26 September 2020 / Accepted: 5 October 2020 / Published: 10 October 2020
(This article belongs to the Special Issue Porous Glass and Ceramics: From Preparation to Applications)

Abstract

:
Organosilicate glass-based porous low dielectic constant films with different ratios of terminal methyl to bridging organic (methylene, ethylene and 1,4-phenylene) groups are spin-on deposited by using a mixture of alkylenesiloxane with organic bridges and methyltrimethoxysilane, followed by soft baking at 120–200 °C and curing at 430 °C. The films’ porosity was controlled by using sacrificial template Brij® L4. Changes of the films’ refractive indices, mechanical properties, k-values, porosity and pore structure versus chemical composition of the film’s matrix are evaluated and compared with methyl-terminated low-k materials. The chemical resistance of the films to annealing in oxygen-containing atmosphere is evaluated by using density functional theory (DFT). It is found that the introduction of bridging groups changes their porosity and pore structure, increases Young’s modulus, but the improvement of mechanical properties happens simultaneously with the increase in the refractive index and k-value. The 1,4-phenylene bridging groups have the strongest impact on the films’ properties. Mechanisms of oxidative degradation of carbon bridges are studied and it is shown that 1,4-phenylene-bridged films have the highest stability. Methylene- and ethylene-bridged films are less stable but methylene-bridged films show slightly higher stability than ethylene-bridged films.

1. Introduction

Low dielectric constant (low-k) materials and low resistivity metals (Cu, Co, Ru etc.) have been introduced into microelectronics technology to replace traditional SiO2 and Al since the late 1990s. The implementation of Cu and low-k dielectrics was required to reduce the signal propagation delay (resistance-capacitance RC-delay) in interconnection structures of ultra large-scale integration (ULSI) devices, and to reduce power dissipation and cross-talk noise between the metal lines [1,2]. After extensive evaluation of different low-k candidates from organic polymers to metal–organic frameworks [3], organosilicate glass (OSG)-based films have been recognized as the most suitable low-k materials for the present ULSI technology. In these materials, bridging oxygen atoms of silica-like matrix are partly replaced by terminal organic (methyl) groups. The organic terminal groups make porous OSG materials hydrophobic and make it possible to minimize or avoid adsorption of water molecules that have high dielectric constants (the k-value of water is about 80). The adsorbed water molecules also degrade the device reliability, increasing the leakage current and reducing the breakdown field. To reduce the dielectic constant, these materials have to be made porous with the terminal methyl groups mainly located on the pore wall surfaces [4].
Recently, OSG low-k materials with crosslinking (bridging) carbon groups have become more popular. The materials with bridging carbon (organic) groups can form films with ordered porosity and they are termed as periodic mesoporous organosilicates (PMO) [5]. The incorporated bridging alkyl groups should improve mechanical properties because of the higher bending rigidity of Si–C–Si bonds than that of Si–O–Si [6,7]. The improved strength of these films is important for the back-end-of-line (BEOL) integration technology and reliability of ULSI devices, especially during the final device packaging [8]. Different types of PMO materials have already been explored as low-k candidates. More detailed information related to these efforts can be found in the review paper [5] and references used there.
However, the terminal and bridging carbon groups in OSG films have different affinities to adsorption of water molecules. The bridging carbon groups are not able to make OSG materials sufficiently hydrophobic like terminal methyl groups. Therefore, the simultaneous incorporation of terminal groups and bridging carbon groups is needed. Successful implementation of these types of low-k materials needs careful selection of the most suitable bridging groups and the optimal relation between concentrations of the terminal and bridging carbon groups. However, the results of systematic comparative study of PMO materials with different ratios of terminal and bridging carbon groups are not known. Taking this into account, the goal of this research has been the development and deposition of low-k films with different types of bridging groups (methylene, ethylene, 1,4-phenylene) and different concentrations of terminal methyl groups (Figure 1), and comparative analysis of their properties. The concentration of terminal methyl groups precursor (methyltrimethoxysilane) varied from 100% to zero. The first part of this work is experimental: the deposited films were evaluated by using FTIR spectroscopy (chemical composition), ellipsometric porosimetry (EP: refractive index, porosity and pore radius distribution), grazing-incidence small-angle X-ray scattering (GISAXS: pore structure), and nanoindentation (mechanical properties). The second part of the work reports results of ab initio density functional theory (DFT) calculations to understand the nature of chemical reactions during the interaction of carbon bridges with residual oxygen (for instance, during thermal curing or integration processes). These results are also important for the selection of the materials most suitable for integration into ULSI devices.

2. Experimental Design, Materials and Methods

The OSG samples with different types of carbon bridges (methylene, ethylene and 1,4-phenylene) for comparative analysis were prepared by using commercially-available precursors and special procedures designed for this purpose. The film-forming solution used acid-catalysed (HCl, 37%, Sigma-Aldrich, St. Louis, MO, USA) condensation of methyl-modified silicon alkoxide (methyltrimethoxysilane, MTMS, >98%, Fluka, Buchs, Switzerland) and alkylenesiloxane in tetrahydrofuran (THF, anhydrous, ≥99.9%, Sigma-Aldrich, St. Louis, MO, USA) in the presence of deionised water. The alkylenesiloxane contains different organic bridges between the silicon atoms: methylene (1,2-bis(triethoxysilyl)methane, BTESM, 97%, abcr, Karlsruhe, Germany), ethylene (1,2-bis(trimethoxysilyl)ethane, BTMSE, 96%, Sigma-Aldrich) or 1,4-phenylene (1,4-bis(triethoxysilyl)benzene, BTESB, 95%, abcr). Alkylenesiloxanes (BTESM, BTMSE or BTESB) were mixed with MTMS in molar concentrations equal to 0, 25, 45, 60 and 100 mol% (Figure 1). Appropriate amounts of MTMS, alkylenesiloxane, THF, water, and the acid were added to a flask fitted with a magnetic stirrer. The water content per methoxy group in the solution was 0.4. The MTMS:HCl mole ratio was 1:0.001. The final equivalent Si content in the solutions was 5.3–6.7 wt%. Porogen Brij® L4 (C12H25(OCH2CH2)4OH) from Sigma-Aldrich, with a molar mass of 362 g/mol, was used as a template in the evaporation-induced self-assembly (EISA) process to control the films’ porosity during the spin-on deposition [9]. The porogen concentration was kept equal to 30 wt% to the sum of metal alkoxide and alkylenesiloxane. The films deposited on the top of Si wafers were soft baked on a hot plate at Ta = 120 °C, 30 min, then Ta = 200 °C, 30 min, and the final curing (hard bake) was carried out at Ta = 430 °C, 60 min. The uniformity and homogeneity of the films are confirmed by the UV-Vis (SENTECH, Berlin, Germany) absorption spectra and SEM (Field Electron and Ion Company (FEI), Hillsboro, OR, United States) are given in Supplementary Materials, Table S1 and Figure S1, respectively.
Chemical composition of the deposited films was evaluated by using Nicolet 6700 Fourier-transform infrared (FTIR) spectrometer (Thermo Fisher Scientific, Waltham, MA, USA) in transmission mode with a resolution of 4 cm−1 (at least 64 scans) in the extended range of 7400–400 cm−1 with nitrogen purging. The baseline was fitted using the ~10th-order polynomial function combined with the sigmoidal function for the inflection region, ranging from 1200 to 1100 cm−1. Background spectra were obtained using a pure silicon sample cut from the same wafer that was used for the film deposition. All spectra are normalized to the highest Si–O–Si peak (imposition of network and networked suboxide bands).
The thickness and index of refraction (RI), porosity, and pore size distribution of the films were estimated by using ellipsometric porosimetry (EP) [10] based on an in situ spectroscopic ellipsometer SE-850 (SENTECH, Berlin, Germany) with λ = 300–800 nm. Isopropyl alcohol (IPA) vapor with N2 carrier gas was used as an adsorptive. The films’ open porosity is calculated as the volume of condensed liquid IPA from RI values measured during the adsorption (neff) by using a modified Lorentz–Lorenz equation [10]:
V = n e f f 2 1 n e f f 2 + 2   n s 2 1 n s 2 + 2 n a d s 2 1 n a d s 2 + 2   n s 2 1 n s 2 + 2 ,
where neff is the measured RI of porous film filled by adsorptive molecules, nads is the RI of liquid adsorptive (IPA in our case), ns is the RI of the film skeleton (the dense part of the film). The calculation of the pore size of mesoporous film is based on the Kelvin equation [11]. The size of the micropores is calculated by using the Dubinin–Radushkevich equation adapted for EP [11].
The film shrinkage Δd was evaluated as the change in film thickness (%) after the soft bake at 200 °C and after the hard bake at 430 °C: d = d 200 d 430 d 200 × 100 % .
The pore geometries and ordering were characterized by GISAXS (National Synchrotron Radiation Research Center, Hsinchu, Taiwan) using beamline BL23A (National Synchrotron Radiation Research Center, Hsinchu, Taiwan) in the National Synchrotron Radiation Research Center in Taiwan. The incidence beam was monochromated to a wavelength (λ) of 1.55 Å with Δλ/λ ≈ 10−3 resolution. The detailed information of the detector, the scattering wave vector (q) range, incident angle and X-ray energy had been described in [12]. All GISAXS data were corrected for sample transmission, background, and the detector sensitivity. Then the pore geometries were analyzed and simulated using a cylinder model [13] based on SASView software (Caltech, Pasadena, CA, USA) [14].
Nanoindentation (NI) was used to measure the Young’s modulus (YM) of the films. The measurements were conducted using a nanoindenter (MTS Nano Indenter XP System, MTS Systems Corporation, Eden Prairie, MN, USA) in a continuous mode. A pyramidal Berkovitz tip was used to obtain the reduced modulus (Er). The Oliver–Pharr method was used to determine the elastic modulus (E) [15]. In addition, the indentation depth was kept smaller than 20% of the film thickness to eliminate the influence of the Si substrate.
To measure the dielectric constant, capacitance-voltage (CV) and capacitance-frequency (Cf) measurements were carried out using the MDC CSM/Win Semiconductor Measurement System (Materials Development Corporation, Chatsworth, CA, USA). The test system involves the MDC 802B-150 (Materials Development Corporation, Chatsworth, CA, USA) mercury probe station with the contact diameter of about 790 μm and an Agilent 4284A inductance, capacitance and resistance meter (Santa Clara, CA, USA). For these measurements, the silicon wafers have a resistivity of 0.005 Ohm-cm. The dielectric constant was estimated in the frequency range of 1 to 100 kHz.
Additional information related to resistance of the deposited films to thermal annealing, and the mechanisms of their possible destruction by residual oxygen, was obtained by density functional theory (DFT). This information is important for optimization of the curing processes and also sheds a light on the compatibility with integration processes. The DFT calculations were based on application of the PBE0-D3 functional [16,17,18], which includes London dispersion interactions [19] at the PBE0-D3/6-31G** level of theory, which is implemented in the Jaguar 9.6 program [20]. The total enthalpy (H) and Gibbs free energy (G) were obtained from frequency calculations. The difference of the calculated H and G between the reactants and products are presented as the reaction enthalpies (ΔH) and Gibbs free energies (ΔG).

3. Results and Discussion

3.1. Chemical Composition of Methylene, Ethylene and 1,4-phenylene-bridged OSG Films

Figure 2 and Figure 3 show FTIR spectra of completely cured porous OSG films. Materials with 45 mol% of bridge concentration (Samples 45M, 45E and 45B in the Table 1) are selected for demonstration as typical representatives. The most intensive peaks in FTIR spectra are related to the silica-like matrix (Si–O–Si stretching vibrations at 1200–1000 cm−1) and to the terminal Si–CH3 groups (~1275 cm−1) typical for various OSG low-k films [21,22,23]. The peaks associated with the bridging groups are not so pronounced (1700–1300 cm−1) due to their small concentration and much smaller absorption coefficient in comparison with Si–O bonds. It is clearly seen that the spectrum of the Sample 45B has the largest number of distinctive absorption bands due to the presence of 1,4-phenylene (p-disubstituted) rings in their structure as bridges. The following characteristic bands can be noted: 3050, 1600, 1510, 1150, 1025, 525 cm−1 [24,25].
The FTIR spectra of the 45M and 45E samples have much less difference. The characteristic band of Sample 45E is located at ~720 cm−1, which is correlated to the C–C bonds of ethylene bridges [26,27,28]. The distinctive peak of C–H bonds of methylene bridges in Sample 45M is located at ~1360 cm−1 [29], whose position differs markedly from the peak of C–H bonds of ethylene bridges in Sample 45E (~1415 cm−1) [30]. Some differences are also visible in the 3100–2800 cm−1 region. The most important one is the high intensity of CH2 peaks at 2950–2850 cm−1 in ethylene-bridged films. The largest intensity of Si–OH at ~950 cm−1 [31] is observed in Sample 45B, and the smallest is in 45M (the complete set of data is summarized in the Supplementary Materials, Table S2). In addition, the Sample 45B contains more surface silanol groups and adsorbed water. The surface silanols are the centers of water molecules’ adsorption (wide band at 3600–3200 cm−1). A similar conclusion can be made from the dielectric constant and water contact angle data, which are presented in Supplementary Materials, Figure S2 and Table S3, respectively. The spectra of other series with 25, 60 and 100 mol% of bridge concentration (Table 1) show that the increase in the alkylenesiloxane precursors’ concentration increases the intensity of bridging components and reduces SiCH3 bonds’ concentration, but they are basically similar to the spectra presented in the Figure 2 and Figure 3 (the full set of spectra is available on reasonable request to the authors).

3.2. Impact of Bridge Type and Composition on the Properties of Carbon-bridged Low-k Films

Table 1 summarizes comparative data for OSG samples with different types and concentrations of carbon bridges. The sample in Experiment 1 was obtained by using only methyltrimethoxysilane (MTMS). The resulting film is a porous methyl-terminated OSG known as (poly)methylsilsesquioxane or MSSQ [26,32], which has a regular ladder-like structure [33]. The Samples 25M, 45M, 60M (Experiments 2–4) were deposited from mixture of MTMS and BTESM with different ratios and contain both terminal methyl and bridging methylene groups. Similarly, the Samples 25E, 45E, 60E were deposited from mixture of MTMS/BTMSE, while the Samples 25B, 45B, 60B (Experiments 2–4) were produced by using MTMS/BTESB, and contain ethylene and 1,4-phenylene bridges, respectively. The Samples 100M, 100E, 100B (Experiment 5) were deposited using pure alkylenesiloxanes without MTMS. As already mentioned, the porogen concentration was kept as 30 wt% of the sum of alkyl- and alkylenesiloxane in all cases. The samples from the Experiment 5 containing only bridging carbon groups were found to be hydrophilic. Hydrophilicity of these films and fast moisture adsorption made the reliable measurement of the dielectric constant by mercury probe impossible. Similar problems were also observed for samples in the Experiment 4 (Samples 60M, 60E, 60B), suggesting that the concentration of hydrophobic terminal methyl groups must exceed a certain critical level if the films are targeted for microelectronics application.
The data presented in Table 1 provide important information about properties of the films versus type and concentration of the bridging groups. Figure 4 shows the change of refractive indices of the films and their skeleton versus the type and concentration of the bridging groups. RI values increase with the concentration of carbon-based bridging groups and they are all larger than the RI of methyl-terminated film. In the case of methylene and ethylene bridges, these changes are not significant, but a much stronger change is observed in the case of the 1,4-phenylene bridge. This effect is obviously related to the reduced porosity and higher molecular polarizability of aromatic rings in comparison with aliphatic compounds. The change of skeleton RI calculated from EP data shows the same tendency. Therefore, it is reasonable to conclude that the skeleton properties play a more important role; thereby, the impact of molecular polarizability on RI values is generally dominant.
Similar behavior demonstrates the k-value of the films measured in Experiments 1–3 (Figure 5). The k-value increases with the concentration of the bridging groups. The possible reasons are distortion of the regular ladder-like structure of MSSQ films with the reduction in porosity, increase in residual silanol groups’ concentration and change of volume polarizability (phenylene bridged films) [35]. This effect is most pronounced in the films with the 1,4-phenylene bridge. Unfortunately, as already mentioned, reliable measurements of the k-values of the samples deposited in the Experiments 4 and 5 were not possible because of their hydrophilic nature and the presence of adsorbed water.
Figure 6 shows the Young’s modulus values measured by nanoindentor. One can see that YM increases with the concentration of the bridging groups. Moreover, the YM values for the 1,4-phenylene-bridged films are higher than those of methylene and ethylene-bridged films, except that of the sample prepared from 60 mol% of BTMSE (60E). The Young’s moduli of the films deposited from alkylenesiloxane/MTMS mixtures show almost linear dependence on the bridge concentration in the range of alkylenesiloxane concentration from zero to 60 mol%. For additional comparison, Figure 6 also shows three data points reported for well-known low-k films (LKD-5109, MSQ2.4 and NCS2.3) with terminal methyl groups. It is remarkable that the structure of methyl-terminated films can be significantly optimized (even YM = 10 GPa for modified NCS was reported by Fujitsu [36]) and Young’s modulus reaches the values comparable with ~25 mol% carbon bridge concentration.

3.3. Porosity and Pore Size Distribution (EP Data)

Figure 7 shows the adsorption and desorption isotherms of IPA vapors and calculated pore radius distribution in low-k films prepared from only (a) MTMS, (b) BTESM, (c) BTMSE and (d) BTESB at a fixed 30 wt% porogen content. One can see that the porosity of the films reduces from 39% in the sample (a) to 20% in the sample (d). The sample (d) containing 1,4-phenylene bridges demonstrates the smallest pore size. This film is completely microporous with the pore radius of 0.76 nm. The samples (b) and (c) containing only methylene or ethylene bridges, respectively, demonstrate bimodal porosity. They contain micropores similar to those observed in the sample (d) but also contain larger mesopores. The sample (a), containing only terminal methyl groups, has completely different adsorption and desorption isotherms. It can be classified as a representative of the type H2 isotherm that is typical for the pores with narrow interconnecting mouths (ink-bottle pores) and internal voids [39]. The size of interconnecting mouths is represented by a desorption curve while the adsorption branch represents the size of internal voids [40]. The graphs clearly demonstrate the effects of bridging groups on the pore size and porosity. The 1,4-phenylene bridge has the strongest effect, while the difference between the films with methylene and ethylene bridges is not significant.
The samples with different concentrations of methyl terminals and different bridges have been studied in detail. The completed set of data can be found in the Supplementary Materials, Table S4, and here we only demonstrate the effect of 1,4-phenylene bridges because of the most pronounced impact of composition (Figure 8). The sample with the highest concentration of 1,4-phenylene bridges (MTMS/BTESB ratio equal to 40/60) shows isotherms quite similar to the film prepared from pure BTESB (Figure 7d). It has exactly the same pore size distribution (0.76 nm at maximum probability) but the porosity is slightly higher (27% instead of 20% in pure BTESB). The increase in MTMS content (curves (b) and (c) in Figure 8) increases porosity, and the pore radius distribution becomes bi-modal with the presence of mesopores. However, the isotherms are still quite different than the MSSQ film ones (Figure 7a).
Figure 9 shows the summary of all EP data. One can see that generally the carbon bridge concentration reduces both porosity and pore size. The difference between the films with methylene and ethylene bridges is not so pronounced while the 1,4-phenylene-bridged samples show a clear difference. The Samples 45B, 60B and 100B have the smallest pore sizes. The porosity of these films reduces with the concentration of 1,4-phenylene bridges and partly leads to the increase in k-value, as shown in the Figure 5. Therefore, Figure 9 suggests that Sample 45B is more interesting for certain technological applications when the pore size can be kept very small at relatively high porosity.

3.4. Pore Geometries Characterized by GISAXS

Two dimensional (2D) GISAXS scattering patterns for OSG films hard baked for 30 min at 400 °C in nitrogen are shown in Figure 10a–m. The MSSQ films (Figure 10a) show isotropic, well defined rings of maxima scattering pattern, which indicate the existence of randomly distributed pores with narrow pore size distribution. In addition, no pore correlation was observed, as illustrated in the scattering pattern [41]. Most of films shown in the Figure 10b–g show similar isotropic and well defined rings of maxima scattering pattern with a few exceptions such as 25M [Figure 10b], 25E [Figure 10c], 25B [Figure 10d], and 45E [Figure 10f], which exhibit a well-defined ring of maxima scattering pattern with a strong horizontal correlation, at a large angle, i.e., at a higher q. This correlation peak indicates the existence of ordered pores in the x-direction, or film planes with a specific pore correlation, or pore-to-pore distance.
Pore geometries can be analyzed and obtained from the scattering patterns by using the GISAXS model. The scattering pattern intensity, I(q), is proportional to the product of the intra-particle structure factor (form factor), P(q), and inter-particle structure factor (structure factor), S(q), as expressed by Equation (2) [13]:
I q P q S q ,
where q is the scattering wave vector defined by the wavelength of X-ray radiation (λ) and scattering angle (θ), as expressed by Equation (3):
q = 4 π λ sin θ
The structure factor S(q) is close to 1 in a system without inter-particle interactions or a low-concentration system, and thus can be ignored. The average distance between pores (D) (pore wall thickness) is determined from the intensity maximum (qxy, max), which belongs to the structure factor S(q). Thus,
D = 2 π q x y
To examine the pore correlation (pore-to-pore distance) the GISAXS in-plane intensity versus qxy−1) are plotted for the hybrid OSG films (25-, 45-, and 60-M, -E, and -B) in Figure 11a–c, respectively. Their average pore-to-pore distance, L, is summarized in Table 2. When the loading of bridge components is 25 mol%, short-range in-plane pore ordering is observed for all three bridged films (25M, 25E, and 25B) with a local maximum. Furthermore, L25M (19.0 nm) > L25E (10.8 nm) > L25B (8.6 nm). When the loading of bridge components increases to ≥45 mol%, samples become more random due to a loss of clear correlation peak, except 45E still retaining an in-plane short-range pore ordering, with a L45E of 8.1 nm.
The pore shape and size are then simulated and analyzed using SASView software. Modelling is based on the distribution of the scattering intensity, which is proportional to the ensemble average of the form factor, P(q), in a polydisperse system [21]. A variety of particle shapes (spheres, elliptic, or cylinder etc.) were simulated for 45M and illustrated in Figure 12. The scattering intensity profile of 45M is best fitted and described by a cylindrical shape rather than an elliptic or spherical shape. Subsequently, the pore diameter and length for all OSG films are further calculated from the fitting curve versus qxy based on cylindrical shape. To be precise, the shape of the pores is a flat disc because the diameter of the cylinder is larger than its length, i.e., the aspect ratio of diameter to length is more than 1. The pore diameters, pore lengths, and diameter/length ratio of these OSG films are summarized in Table 2. One can see that the pore size (both diameter and length) decreases with the increasing loading of bridging components. Overall, the pore diameter for these OSG films follows this sequence: M > E > B for various bridge loadings, except at 45 mol% where 45E > 45M > 45B.
To sum up, the pore size of MSSQ (no carbon bridge) at fixed 30 wt% porogen is the largest because MTMS has a terminal CH3 group forming a relatively loose network structure with a large intrinsic volume. Unlike MSSQ with a terminal –CH3 group, the organic silica components possess three Si–O bonding and a carbon bridge to Si, i.e., more network linkages, resulting in smaller intrinsic volume, thus pore size, with increasing loading of carbon bridges from 25 to 100 mol%. The flexibility of carbon bridge molecules and their loading relative to MTMS also affects their pore size, and possibly ordering. Similar to silicon oxide tetrahedra, carbon-bridged siloxanes tetrahedra all have three identical corner configurations (Si–O–Si) and their fourth tetrahedral corner are interconnected by:
  • –CH2–segment with two rotational axes for BTESM;
  • –CH2–CH2– segment with three rotational axes for BTMSE;
  • 1,4-phenylene unit with Si in a para-configuration having only one rotational axis for BTESB.
Thus, the order, in terms of rotational freedom, of these tetrahedra is B < M < E. In other words, among three bridging components, 1,4-phenylene bridge is the most rigid with little rotational freedom. Combined with its spacing effect, the 1,4-phenylene rings in “B” films tend to align due to π–π stacking, resulting in the smallest pore size. When the loading of carbon-bridged siloxanes is small, say 25 mol%, the empty space in the fixed 75 mol% MTMS and porogen enables the structural adaptation of all three carbon bridges with different rotational freedom into a short-range pore ordering, previously illustrated in Figure 10b–d and Figure 11a–c. When their loading is increased to 45 mol%, only BTMSE with the best flexibility still retains the mobility for structural adaption within MTMS, leading to a short-range pore ordering. No short-range pore ordering is observed for loading ≥60 mol%, because the difference in the rotational freedom does not affect much in a relatively high cross-linked structure.
The data obtained by GISAXS and EP show a relatively good correlation, especially when taking into account that they are based on completely different physical principles. Table 2 shows the pore diameters calculated from GISAXS and EP data. They show the same tendency and are even qualitatively similar. The most pronounced differences show 1,4-phenylene-bridged Sample 45B and Sample 60B (Table 2). According to EP, these samples are perfectly microporous. One can assume that these films have some additional internal gradients able to differently scatter X-rays. GISAXS makes it possible to measure pore length, which well correlates with pore size: the pores with small pore diameter are shorter. The pore-to-pore distance was calculated for the samples with ordered pores. One can see that that this distance is proportional to the pore diameter. It is also phenomenologically understandable. If one is to imagine two materials with cylindrical pores, the number of pores will be larger when the pore diameter is smaller. Therefore pore-to-pore distance will also follow this tendency.
Generally, both EP and GISAXS show that pore size and porosity decrease from methyl-terminated OSG to methylene/ethylene and 1,4-phenylene-bridged films. The pore diameter for these OSG films follows this sequence: MSSQ > M ≈ E > B. A separate study of the films shrinkage happening during the final curing (Table 1) showed that the changes of thicknesses ∆d were equal to 15% (MTMS), 26% (100M), 27% (100E) and 32% (100B). It may suggest that the change of thickness and the porosity reduction is mainly happening during the final curing.

3.5. Bridge Destruction by Residual Oxygen (DFT Data)

Thermal stability of low-k components is an important issue for their integration. For instance, low-k films need to be cured at a temperature of about 400–450 °C and the further integration procedure also involves thermal annealing steps (for instance, diffusion barrier deposition). Relatively low thermal stability of carbon-bridged PMO materials is known [42]. Moreover, we recently found that 1,4-phenylene rings can be more sensitive to thermal destruction in the presence of UV light even with λ > 200 nm [24]. It was shown that this effect is related to a shift of absorption edge in the UV region of 1,4-phenylene-bridged OSG to higher λ in comparison with ethylene/methylene-bridged [30] and methyl-terminated materials. It has also been shown that all these bridges are sensitive to the curing environment. The presence of oxygen traces during the curing can significantly destroy the carbon bridges [43]. Here we studied the mechanism of destruction of methylene, ethylene and 1,4-phenylene bridges by residual oxygen. We believe that this knowledge would be useful for the further optimization of technological processes.
DFT analysis is done on the model polymers with –Si–CH2–Si–, –Si–CH2–CH2–Si– and –Si–1,4-Ar–Si– bridge groups (shown in Figure 13, Ar is aryl) to analyze the most energetically favorable reaction paths occurring during the oxidation of the studied bridges by oxygen. The results of calculations are given in Figure 14, Figure 15 and Table 3.
The structure and electron distribution of the highest occupied molecular orbital (HOMO) responsible for the reactivity of model polymers are given in Figure 13. As can be seen, the HOMO electrons of Structure I and Structure II are localized mostly on the –Si–CH2–Si– and –Si–CH2–CH2–Si– bridge groups, indicating that they are the most reactive places. In the case of 1,4-Ar-bridged film (Structure III), most electron density is localized on C1 and C4 from the aromatic ring. The positions next to C1 and C4 on ring are more favorable for reaction with OH radical than C1 and C4.
The possible reaction pathways that could lead to the decomposition of polymers with different bridge groups (shown in Figure 14 and Figure 15) are multistep reactions. The first step is the abstraction of the hydrogen atom from methylene or ethylene induced by OH radical resulting in the formation of a reactive radical place either on the methylene or ethylene bridges. As can be seen from the Table 3, this reaction is most favorable in the case of the Si–CH2–CH2–Si– bridge group (Structure II). In the case of the –Si–1,4-Ar–Si– bridge group (Structure III), the abstraction of hydrogen by OH radical is energetically possible from C2 on the aromatic ring. This reaction is, however, essentially less favorable in comparison with the methylene or ethylene bridges. The second step is the addition reaction of the oxygen molecule in a ground triplet state on the radical place with the formation of the peroxyl radical. This reaction is energetically favourable in the case of all three studied molecules. In the case of Structure I and Structure II, the next step (Reaction 3, Figure 14) is the exergonic intramolecular H-shift to oxygen with the formation of the terminal easy-bonded OH group. This OH group could be shifted either to Si1 or Si2 atoms (Reactions 4 and 5, Figure 14). These reactions are strongly exergonic and may result in corresponding bond scission. If Structure I and Structure II are compared, it can be seen that polymers with an ethylene bridge should be less stable than those with a methylene one. For the methylene bridge, a possible alternative pathway of Si–C decomposition is presented as Reaction 6. This reaction is similar to the self-hydrophobization process that was already discussed in Ref. [44,45]. The Reaction 6 is exergonic but might be kinetically suspectable because of the formation of reaction intermediates containing 5-valence C and Si atoms. However, the formation of 5-valence Si atoms as intermediate states was already reported for low-k etching by atomic fluorine [46]. Therefore, this mechanism can be considered as a possible way of degradation.
In the case of Structure III, the Reaction 3 may be a H abstraction from the polymer chain by the peroxyl radical. This reaction is, however, energetically unfavourable and could not result further in any bond scission. Otherwise, in the case of Structure III, there may be an alternative pathway through the direct addition of the oxygen molecule in ground triplet state on the aromatic ring followed by an intramolecular H shift to oxygen (Reaction 5, Figure 15). This concerted Reaction 5 is actually a well-known insertion reaction. The formed terminal OH group is easily bonded and could be shifter either to aromatic ring position C1 (Reaction 6), or to the Si atom (Reaction 7). Reaction 6 is essentially energetically more favourable than the strong endergonic Reaction 7 and could result in a corresponding bond scission.
From the comparison of energetics of possible reaction pathways for Structures I, II and III, it may be expected that Structure II should be less stable, and Structure III the most stable for the possible polymer decomposition.

4. Conclusions

Organosilicate (OSG)-based low dielectic constant films with different ratios of terminal methyl and bridging organic (methylene, ethylene and 1,4-phenylene) groups are spin-on deposited by using mixtures of alkylenesiloxane with different organic bridges (BTESM, BTMSE, and BTESB) and methyltrimethoxysilane (MTMS). The deposited films were first soft baked at 120, 200 °C and then cured at 430 °C. The films’ porosity was controlled by using sacrificial template Brij® L4 (30 wt%). Changes of the films refractive indices (RI), mechanical properties, k-values, porosity and pore structure versus chemical composition of the film’s matrix are evaluated.
Porosity and pore structure are evaluated by using ellipsometric porosimetry (EP) and grazing-incidence small-angle X-ray scattering (GISAXS). According to EP results, the samples prepared from pure MTMS (only terminal methyl groups, no bridging groups) have the highest porosity and pore size, then they gradually decrease with the concentration of the bridging groups’ precursors. The films prepared from only BTESB (the highest concentration of 1,4-phenylene as a bridging group) only have micropores. MTMS additive to BTESB increases the pore size, making them bi-modal. According to GISAXS, the films prepared from 25 mol% of carbon bridged precursors (25M, 25E, and 25B), the pore arrangement has a short-range in-plane ordered state. The films prepared from pure MTMS or higher concentrations of alkylenesiloxane have disordered structures (except Sample 45E). The pore size calcucated from EP and GISAXS data have a reasonably good agreement. Pore-to-pore distance calculated for the films with ordered porosiy can also be qualitatively described by EP measured pore size. The mechanical and dielectric properties of porous hybrid OSG films are determined by their porosity, degree of networking, and carbon bridge components. With an increasing carbon-bridged components loading, an increased degree of networking leads to a higher Young’s modulus, and also an unfavorably higher dielectric constant. The network connectivity is also found to be the primary factor controlling the porosity and pore radius distribution. It is also shown that the bridging carbon groups are not able to make these materials completely hydrophobic and, therefore, the concentration of terminal methyl groups must exceed a certain critical level if the films are targeted for microelectronics application.
The chemical resistance of the films to annealing in an oxygen-containing environment is evaluated by using density functional theory (DFT). Mechanisms of destruction are discussed and it is shown that 1,4-phenylene-bridged films have the highest stability while methylene-bridged films are more stable than ethylene-bridged films. The limited thermal stability of these films in the oxygen-containing environment must be taken into account during the development of new technological processes for their integration into ULSI devices.
Finally, the application of mixtures of alkylenesiloxane with different organic bridges between the silicon atoms (BTESM, BTMSE, and BTESB) with methyl-modified silicon alkoxide (MTMS) makes it possible to deposit OSG low-k films with various properties. Their porosity, pore size and ordering, hydrophilicity, mechanical properties and k-values can be controlled by selected ratios of alkylenesiloxane and methyl-modified silicon alkoxide. These possibilities make them attractive for microelectronics technology. However, improvement of mechanical properties is often counteracted by the higher k-value of the films containing organic bridges. This observation can be explained by an increase in the matrix density when Si–O–Si is replaced with the Si–CH2–Si groups. Therefore, the optimal precursors ratio must be carefully selected for each technological application.

Supplementary Materials

The following are available online at https://www.mdpi.com/1996-1944/13/20/4484/s1, Table S1: UV-Vis optical characteristics of porous organosilicate glass films with different types and content (25 and 45 mol%) of bridging groups, cured at 430 °C for 30 min in air, Table S2: The summarized FTIR data for porous methylsilsesquioxane film (MSSQ) and organosilicate glass films with different types (M—methylene, E—ethylene, B—1,4-phenylene) and content (0–100 mol%) of bridging groups, annealed at 430 °C for 30 min in air (hard bake), Table S3: Water contact angle values for porous methylsilsesquioxane film (MSSQ) and some of the organosilicate glass films deposited with different ratios of 1,2-bis(trimethoxysilyl)ethane to methyltrimethoxysilane (BTMSE/MTMS) mixture, annealed at 430 °C for 30 min in air (hard bake), Table S4: open porosity Vopen and pore radius (R) distribution measured by ellipsometric porosimetry, Figure S1: SEM picture of organosilicate glass material with terminal methyl groups (30% porosity) deposited on top of Cu, Figure S2: The measured dielectric constants versus porosity and comparison with the Clausius–Mossotti equation plotted for SiO2.

Author Contributions

Conceptualization, M.R.B.; Methodology, A.S.V., S.N. and D.S.S.; Validation, A.S.V., S.N. and M.R.B.; Formal Analysis, J.L., Y.-H.W., W.-T.C. (GISAXS data processing) and J.Z.; Investigation, M.R.B., A.S.V. and S.N.; Resources, D.S.S. and W.-T.C.; Data Curation, A.S.V. and J.L.; Writing—Original Draft Preparation, M.R.; Writing—Review and Editing, M.R.B., A.S.V. and K.A.V.; Visualization, A.S.V., S.N. and Y.-H.W.; Supervision, M.R.B. and J.Z.; Project Administration, K.A.V.; Funding Acquisition, M.R.B. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Ministry of Science and Higher Education of the Russian Federation [project No. 0706-2020-0022], by the Russian Foundation for Basic Research (RFBR) [grant 18-29-27022]; MOST-RFBR Joint Research Project [MOST grant No. 107-2923-E009-002-MY3 and RFBR grant No. 18-52-52010], the Beijing Natural Fund grant No. 4182021 and the National Natural Science Foundation of China [grant No. 61874002].

Acknowledgments

It is our pleasure to thank Yingjie Wang from Fudan University (Shanghai) and Konstantin Mogilnikov from the Institute of Semiconductor Physics (Novosibirsk) for their help in the experimental work.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Maex, K.; Baklanov, M.R.; Shamiryan, D.; Lacopi, F.; Brongersma, S.H.; Yanovitskaya, Z.S. Low dielectric constant materials for microelectronics. J. Appl. Phys. 2003, 93, 8793–8841. [Google Scholar] [CrossRef]
  2. Grill, A. PECVD low and ultralow dielectric constant materials: From invention and research to products. J. Vac. Sci. Technol. B 2016, 34, 020801. [Google Scholar] [CrossRef]
  3. Baklanov, M.R.; Adelmann, C.; Zhao, L.; De Gendt, S. Advanced Interconnects: Materials, Processing, and Reliability. Ecs J. Solid State Sci. Technol. 2014, 4, Y1–Y4. [Google Scholar] [CrossRef]
  4. Palov, A.P.; Voronina, E.N.; Rakhimova, T.V.; Lopaev, D.V.; Zyryanov, S.M.; Mankelevich, Y.A.; Krishtab, M.B.; Baklanov, M.R. Effect of porosity and pore size on dielectric constant of organosilicate based low-k films: An analytical approach. J. Vac. Sci. Technol. BNanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2016, 34, 041205. [Google Scholar] [CrossRef] [Green Version]
  5. Van der Voort, P.; Esquivel, D.; De Canck, E.; Goethals, F.; Van Driessche, I.; Romero-Salguero, F.J. Periodic Mesoporous Organosilicas: From simple to complex bridges; a comprehensive overview of functions, morphologies and applications. Chem Soc Rev. 2013, 42, 3913–3955. [Google Scholar] [CrossRef]
  6. Li, H.; Knaup, J.M.; Kaxiras, E.; Vlassak, J.J. Stiffening of organosilicate glasses by organic cross-linking. Acta Mater. 2011, 59, 44–52. [Google Scholar] [CrossRef]
  7. Volksen, W.; Miller, R.D.; Dubois, G. Low dielectric constant materials. Chem Rev. 2010, 110, 56–110. [Google Scholar] [CrossRef]
  8. Vanstreels, K.; Li, H.; Vlassak, J.J. Mechanical Reliability of Low-k Dielectrics. In Advanced Interconnects for ULSI Technology; Baklanov, M., Ho, P.S., Zschech, E., Eds.; John Wiley & Sons Inc.: Chichester, West Sussex, UK, 2012; pp. 339–367. [Google Scholar]
  9. Lu, Y.; Ganguli, R.; Drewien, C.A.; Anderson, M.T.; Brinker, C.J.; Gong, W.; Guo, Y.; Soyez, H.; Dunn, B.; Huang, M.H.; et al. Continuous formation of supported cubic and hexagonal mesoporous films by sol–gel dip-coating. Nature 1997, 389, 364–368. [Google Scholar] [CrossRef]
  10. Baklanov, M.R.; Mogilnikov, K.P.; Polovinkin, V.G.; Dultsev, F.N. Determination of pore size distribution in thin films by ellipsometric porosimetry. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2000, 18, 1385. [Google Scholar] [CrossRef]
  11. Gregg, S.J.; Sing, K.S.W. Adsorption, Surface Area and Porosity; Academic Press: London, UK, 1982. [Google Scholar]
  12. Che, M.-L.; Chuang, S.; Leu, J. The Mechanical Property, Microstructure, and Pore Geometry of a Methyltrimethoxysilane Modified Silica Zeolite (MSZ) Film. J. Electrochem. Soc. 2012, 159, G23–G28. [Google Scholar] [CrossRef]
  13. Pedersen, J.S. Analysis of small-angle scattering data from colloids and polymer solutions: Modeling and least-squares fitting. Adv. Colloid Interface Sci. 1997, 70, 171–210. [Google Scholar] [CrossRef]
  14. SasView—Small Angle Scattering Analysis. Available online: http://www.sasview.org (accessed on 11 August 2020).
  15. Oliver, W.C.; Pharr, G.M. An improved technique for determining hardness and elastic modulus using load and displacement sensing indentation experiments. J. Mater. Res. 2011, 7, 1564–1583. [Google Scholar] [CrossRef]
  16. Perdew, J.P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1996, 77, 3865–3868. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  17. Perdew, J.P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1997, 78, 1396. [Google Scholar] [CrossRef] [Green Version]
  18. Adamo, C.; Barone, V. Toward reliable density functional methods without adjustable parameters: The PBE0 model. J. Chem. Phys. 1999, 110, 6158–6170. [Google Scholar] [CrossRef]
  19. Grimme, S.; Antony, J.; Ehrlich, S.; Krieg, H. A consistent and accurate ab initio parametrization of density functional dispersion correction (DFT-D) for the 94 elements H-Pu. J. Chem. Phys. 2010, 132, 154104. [Google Scholar] [CrossRef] [Green Version]
  20. Jaguar, Version 9.6 Software for Technical Computation; Schrodinger, Inc.: New York, NY, USA, 2017.
  21. Blin, J.L.; Carteret, C. Investigation of the Silanols Groups of Mesostructured Silica Prepared Using a Fluorinated Surfactant:  Influence of the Hydrothermal Temperature. J. Phys. Chem. C 2007, 111, 14380–14388. [Google Scholar] [CrossRef]
  22. Grill, A.; Neumayer, D.A. Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. J. Appl. Phys. 2003, 94, 6697–6707. [Google Scholar] [CrossRef]
  23. Baklanov, M.R.; de Marneffe, J.-F.; Shamiryan, D.; Urbanowicz, A.M.; Shi, H.; Rakhimova, T.V.; Huang, H.; Ho, P.S. Plasma processing of low-k dielectrics. J. Appl. Phys. 2013, 113, 041101. [Google Scholar] [CrossRef]
  24. Seregin, D.S.; Naumov, S.; Chang, W.Y.; Wu, Y.H.; Wang, Y.; Kotova, N.M.; Vishnevskiy, A.S.; Wei, S.; Zhang, J.; Vorotilov, K.A.; et al. Effect of the C-bridge on UV properties of organosilicate films. Thin Solid Film 2019, 685, 329–334. [Google Scholar] [CrossRef]
  25. Database of KnowItAll® Informatics System 2018 Academic Edition; Bio-Rad Laboratories, Inc.: Hercules, CA, USA, 2018.
  26. Kim, S.; Toivola, Y.; Cook, R.F.; Char, K.; Chu, S.-H.; Lee, J.-K.; Yoon, D.Y.; Rhee, H.-W. Organosilicate Spin-on Glasses. J. Electrochem. Soc. 2004, 151, F37. [Google Scholar] [CrossRef]
  27. Socrates, G. Infrared and Raman Characteristic Group Frequencies: Tables and Charts; John Wiley & Sons: Chichester, UK, 2001. [Google Scholar]
  28. Kim, B.R.; Kang, J.W.; Lee, K.Y.; Son, J.M.; Ko, M.J. Physical properties of low-k films based on the co-condensation of methyltrimethoxysilane with a bridged silsesquioxane. J. Mater. Sci. 2007, 42, 4591–4602. [Google Scholar] [CrossRef]
  29. Redzheb, M.; Prager, L.; Krishtab, M.; Armini, S.; Vanstreels, K.; Franquet, A.; Van Der Voort, P.; Baklanov, M.R. UV cure of oxycarbosilane low-k films. Microelectron. Eng. 2016, 156, 103–107. [Google Scholar] [CrossRef]
  30. Redzheb, M.; Prager, L.; Naumov, S.; Krishtab, M.; Armini, S.; Van Der Voort, P.; Baklanov, M.R. Effect of the C-bridge length on the ultraviolet-resistance of oxycarbosilane low-k films. Appl. Phys. Lett. 2016, 108, 012902. [Google Scholar] [CrossRef] [Green Version]
  31. Vishnevskiy, A.S.; Seregin, D.S.; Vorotilov, K.A.; Sigov, A.S.; Mogilnikov, K.P.; Baklanov, M.R. Effect of water content on the structural properties of porous methyl-modified silicate films. J. Sol.-Gel Sci. Technol. 2019, 92, 273–281. [Google Scholar] [CrossRef]
  32. Nenashev, R.N.; Kotova, N.M.; Vishnevskii, A.S.; Vorotilov, K.A. Effect of the Brij 30 porogen on the properties of sol–gel derived thin polymethylsilsesquioxane films. Inorg. Mater. 2016, 52, 968–972. [Google Scholar] [CrossRef]
  33. Nenashev, R.N.; Kotova, N.M.; Vishnevskii, A.S.; Vorotilov, K.A. Effect of Methyltrimethoxysilane Hydrolysis and Condensation Conditions on the Properties of Thin Polymethylsilsesquioxane Films. Inorg. Mater. 2016, 52, 625–629. [Google Scholar] [CrossRef]
  34. Ovchinnikov, I.; Vishnevskiy, A.; Seregin, D.; Rezvanov, A.; Schneider, D.; Sigov, A.; Vorotilov, K.A.; Baklanov, M. Evaluation of mechanical properties of porous OSG films by PFQNM AFM and benchmarking with traditional instrumentation. Langmuir ACS J. Surf. Colloids 2020. [Google Scholar] [CrossRef]
  35. Nenashev, R.N.; Vishnevskiy, A.S.; Kotova, N.M.; Vorotilov, K.A. Properties of Sol–Gel Derived Thin Organoalkylenesiloxane Films. Inorg. Mater. 2018, 54, 405–411. [Google Scholar] [CrossRef]
  36. Ikeda, M.; Nakahira, J.; Iba, Y.; Kitada, H.; Nishikawa, N.; Miyajima, M.; Fukuyama, S.; Shimizu, N.; Ikeda, K.; Ohba, T.; et al. A highly reliable nano-clustering silica with low dielectric constant (k>2.3) and high elastic modulus (E=10 GPa) for copper damascene process. In In Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695), Burlingame, CA, USA, 4–4 June 2003; pp. 71–73. [Google Scholar] [CrossRef]
  37. Gaire, C.; Ou, Y.; Arao, H.; Egami, M.; Nakashima, A.; Picu, R.C.; Wang, G.C.; Lu, T.M. Mechanical properties of porous methyl silsesquioxane and nanoclustering silica films using atomic force microscope. J. Porous Mater. 2008, 17, 11–18. [Google Scholar] [CrossRef] [Green Version]
  38. Das, A.; Kokubo, T.; Furukawa, Y.; Struyf, H.; Vos, I.; Sijmus, B.; Iacopi, F.; Van. Aelst, J.; Le, Q.T.; Carbonell, L.; et al. Characterisation and integration feasibility of JSR’s low-k dielectric LKD-5109. Microelectron. Eng. 2002, 64, 25–33. [Google Scholar] [CrossRef]
  39. Sing, K.S.W.; Everett, D.H.; Haul, R.A.W.; Moscou, L.; Pierotti, R.A.; Rouquerol, J.; Siemieniewska, T. Reporting physisorption data for gas/solid systems with special reference to the determination of surface area and porosity (Recommendations 1984). Pure Appl. Chem. 1985, 57, 603–619. [Google Scholar] [CrossRef]
  40. Baklanov, M.R.; Mogilnikov, K.P. Non-destructive characterisation of porous low-k dielectric films. Microelectron. Eng. 2002, 64, 335–349. [Google Scholar] [CrossRef]
  41. Jousseaume, V.; Rolland, G.; Babonneau, D.; Simon, J.P. Influence of polymer porogens on the porosity and mechanical properties of spin coated Ultra Low k dielectrics. Thin Solid Film. 2009, 517, 4413–4418. [Google Scholar] [CrossRef]
  42. Van Der Voort, P.; Leus, K.; De Canck, E. Introduction to Porous Materials; John Wiley & Sons: Cambridge, UK, 2019. [Google Scholar]
  43. Rasadujjaman, M.; Wang, Y.; Zhang, L.; Naumov, S.; Attallah, A.G.; Liedke, M.O.; Koehler, N.; Redzheb, M.; Vishnevskiy, A.S.; Seregin, D.S.; et al. A detailed ellipsometric porosimetry and positron annihilation spectroscopy study of porous organosilicate-glass films with various ratios of methyl terminal and ethylene bridging groups. Microporous Mesoporous Mater. 2020, 306, 110434. [Google Scholar] [CrossRef]
  44. Asefa, T.; MacLachlan, M.J.; Grondey, H.; Coombs, N.; Ozin, G.A. Metamorphic Channels in Periodic Mesoporous Methylenesilica. Angew. Chem. Int. Ed. 2000, 39, 1808–1811. [Google Scholar] [CrossRef]
  45. Hatton, B.D.; Landskron, K.; Hunks, W.J.; Bennett, M.R.; Shukaris, D.; Perovic, D.D.; Ozin, G.A. Materials chemistry for low-k materials. Mater. Today 2006, 9, 22–31. [Google Scholar] [CrossRef]
  46. Mankelevich, Y.; Voronina, E.; Rakhimova, T.V.; Palov, A.; Lopaev, D.V.; Zyryanov, S.; Baklanov, M.R. Multi-step reaction mechanism for F atom interactions with organosilicate glass and SiO x films. J. Phys. D. Appl. Phys. 2016, 49, 345203. [Google Scholar] [CrossRef]
Figure 1. Porous organosilicate glass films prepared by using only methyltrimethoxysilane (1) or its mixture with one of the following alkylenesiloxane: 1,2-bis(triethoxysilyl)methane (2), 1,2-bis(trimethoxysilyl)ethane (3) or 1,4-bis(triethoxysilyl)benzene (4). After evaporation-induced self-assembly (EISA) deposition, the bridging carbon groups are located on the pore wall while the terminal methyl groups cover the pore’s internal surface.
Figure 1. Porous organosilicate glass films prepared by using only methyltrimethoxysilane (1) or its mixture with one of the following alkylenesiloxane: 1,2-bis(triethoxysilyl)methane (2), 1,2-bis(trimethoxysilyl)ethane (3) or 1,4-bis(triethoxysilyl)benzene (4). After evaporation-induced self-assembly (EISA) deposition, the bridging carbon groups are located on the pore wall while the terminal methyl groups cover the pore’s internal surface.
Materials 13 04484 g001
Figure 2. FTIR spectra of periodic mesoporous organosilica films with different bridges (45M—methylene, 45E—ethylene, 45B—1,4-phenylene), 45 mol%, annealed at 430 °C for 30 min in air (hard bake).
Figure 2. FTIR spectra of periodic mesoporous organosilica films with different bridges (45M—methylene, 45E—ethylene, 45B—1,4-phenylene), 45 mol%, annealed at 430 °C for 30 min in air (hard bake).
Materials 13 04484 g002
Figure 3. Entire fingerprint region (a) and its local ranges (bd) of FTIR spectra of hard baked (at 430 °C in air) periodic mesoporous organosilicate films with different bridges.
Figure 3. Entire fingerprint region (a) and its local ranges (bd) of FTIR spectra of hard baked (at 430 °C in air) periodic mesoporous organosilicate films with different bridges.
Materials 13 04484 g003
Figure 4. Refractive indices of the studied films (a) and their skeleton (b). The column termed as Experiment 1 corresponds to the methylsilsesquioxane (MSSQ) film prepared from pure methyltrimethoxysilane (MTMS). The samples in Experiments 2, 3, 4 correspond to concentrations of bridging groups’ precursors equal to 25, 45 and 60 mol%, respectively. No MTMS was used in Experiment 5.
Figure 4. Refractive indices of the studied films (a) and their skeleton (b). The column termed as Experiment 1 corresponds to the methylsilsesquioxane (MSSQ) film prepared from pure methyltrimethoxysilane (MTMS). The samples in Experiments 2, 3, 4 correspond to concentrations of bridging groups’ precursors equal to 25, 45 and 60 mol%, respectively. No MTMS was used in Experiment 5.
Materials 13 04484 g004
Figure 5. Dependence of the measured k-value (at 100 kHz) on the film composition. The column termed as Experiment 1 corresponds to the methylsilsesquioxane (MSSQ) film prepared from pure methyltrimethoxysilane (MTMS). The samples in Experiments 2, 3 correspond to concentrations of bridging groups’ precursors equal to 25 and 45 mol%, respectively.
Figure 5. Dependence of the measured k-value (at 100 kHz) on the film composition. The column termed as Experiment 1 corresponds to the methylsilsesquioxane (MSSQ) film prepared from pure methyltrimethoxysilane (MTMS). The samples in Experiments 2, 3 correspond to concentrations of bridging groups’ precursors equal to 25 and 45 mol%, respectively.
Materials 13 04484 g005
Figure 6. Change of Young’s modulus (YM) versus alkylenesiloxane content in the matrix precursor. The YM of porous organosilicate glass low-k films with only terminal carbon groups are represented by the methylsilsesquioxane (MSSQ) sample (V = 39%). The YM values reported for well-known low-k films with similar porosity: MSQ2.4 (V = 42%) [37], LKD-5109 (V = 39%) [38] and NCS2.3 (V = 33%) [37] are included for comparison. In this Figure: M—methylene bridge (BTESM), E—ethylene bridge (BTMSE), B—1,4-phenylene bridge (BTESB).
Figure 6. Change of Young’s modulus (YM) versus alkylenesiloxane content in the matrix precursor. The YM of porous organosilicate glass low-k films with only terminal carbon groups are represented by the methylsilsesquioxane (MSSQ) sample (V = 39%). The YM values reported for well-known low-k films with similar porosity: MSQ2.4 (V = 42%) [37], LKD-5109 (V = 39%) [38] and NCS2.3 (V = 33%) [37] are included for comparison. In this Figure: M—methylene bridge (BTESM), E—ethylene bridge (BTMSE), B—1,4-phenylene bridge (BTESB).
Materials 13 04484 g006
Figure 7. Adsorption–desorption isotherms and pore size distribution in the low-k films prepared from pure: (a) methyltrimethoxysilane (MTMS), (b) 1,2-bis(triethoxysilyl)methane (BTESM), (c) 1,2-bis(trimethoxysilyl)ethane (BTMSE) and (d) 1,4-bis(triethoxysilyl)benzene (BTESB) at a fixed 30 wt% porogen content.
Figure 7. Adsorption–desorption isotherms and pore size distribution in the low-k films prepared from pure: (a) methyltrimethoxysilane (MTMS), (b) 1,2-bis(triethoxysilyl)methane (BTESM), (c) 1,2-bis(trimethoxysilyl)ethane (BTMSE) and (d) 1,4-bis(triethoxysilyl)benzene (BTESB) at a fixed 30 wt% porogen content.
Materials 13 04484 g007
Figure 8. Adsorption–desorption isotherms of isopropyl alcohol vapor and pore size distribution in low-k films deposited with different ratios of methyltrimethoxysilane to 1,4-bis(triethoxysilyl)benzene (MTMS/BTESB) mixture: (a) 40/60, (b) 55/45 and (c) 75/25.
Figure 8. Adsorption–desorption isotherms of isopropyl alcohol vapor and pore size distribution in low-k films deposited with different ratios of methyltrimethoxysilane to 1,4-bis(triethoxysilyl)benzene (MTMS/BTESB) mixture: (a) 40/60, (b) 55/45 and (c) 75/25.
Materials 13 04484 g008
Figure 9. Pore radius of studied organosilicate films versus open porosity (ellipsometric porosimetry data). In this Figure: M—methylene bridge (BTESM), E—ethylene bridge (BTMSE), B—1,4-phenylene bridge (BTESB) and preceding digits indicate the alkylenesiloxane content in the matrix precursor in mol%. The data point of NCS2.3 low-k film is included for comparison.
Figure 9. Pore radius of studied organosilicate films versus open porosity (ellipsometric porosimetry data). In this Figure: M—methylene bridge (BTESM), E—ethylene bridge (BTMSE), B—1,4-phenylene bridge (BTESB) and preceding digits indicate the alkylenesiloxane content in the matrix precursor in mol%. The data point of NCS2.3 low-k film is included for comparison.
Materials 13 04484 g009
Figure 10. Grazing-incidence small-angle X-ray scattering patterns of porous organosilicate glass films: (a) methylsilsesquioxane (MSSQ); (b) 25M; (c) 25E; (d) 25B; (e) 45M; (f) 45E; (g) 45B; (h) 60M; (i) 60E; (j) 60B; (k) 100M; (l) 100E; (m) 100B, where M is the methylene bridge (BTESM), E—ethylene bridge (BTMSE), B—1,4-phenylene bridge (BTESB).
Figure 10. Grazing-incidence small-angle X-ray scattering patterns of porous organosilicate glass films: (a) methylsilsesquioxane (MSSQ); (b) 25M; (c) 25E; (d) 25B; (e) 45M; (f) 45E; (g) 45B; (h) 60M; (i) 60E; (j) 60B; (k) 100M; (l) 100E; (m) 100B, where M is the methylene bridge (BTESM), E—ethylene bridge (BTMSE), B—1,4-phenylene bridge (BTESB).
Materials 13 04484 g010
Figure 11. Pore correlation pattern GISAXS in-plane intensity versus qxy for: (a) 25/45/60M; (b) 25/45/60E; (c) 25/45/60B hybrid organosilicate glass films.
Figure 11. Pore correlation pattern GISAXS in-plane intensity versus qxy for: (a) 25/45/60M; (b) 25/45/60E; (c) 25/45/60B hybrid organosilicate glass films.
Materials 13 04484 g011
Figure 12. Intensity versus qxy for the 45M organosilicate glass film and the fitting curves of the cylinder, ellipsoid and sphere pore models, where M is the methylene bridge (BTESM).
Figure 12. Intensity versus qxy for the 45M organosilicate glass film and the fitting curves of the cylinder, ellipsoid and sphere pore models, where M is the methylene bridge (BTESM).
Materials 13 04484 g012
Figure 13. Structures of model polymers with (I) methylene-, (II) ethylene- and (III) 1,4-Ar bridges (Ar is aryl) used for calculations, and electron distribution of the highest occupied molecular orbital (HOMO) responsible for the reactivity of the model polymers.
Figure 13. Structures of model polymers with (I) methylene-, (II) ethylene- and (III) 1,4-Ar bridges (Ar is aryl) used for calculations, and electron distribution of the highest occupied molecular orbital (HOMO) responsible for the reactivity of the model polymers.
Materials 13 04484 g013
Figure 14. Calculated energetically favourable possible reaction pathways leading to Si–C bond scission in model polymers with –Si–CH2–Si– (a) and –Si–CH2–CH2–Si– (b) bridges. Structures of model polymers are simplified for clarity. Reaction parameters ΔH and ΔG are given in Table 3.
Figure 14. Calculated energetically favourable possible reaction pathways leading to Si–C bond scission in model polymers with –Si–CH2–Si– (a) and –Si–CH2–CH2–Si– (b) bridges. Structures of model polymers are simplified for clarity. Reaction parameters ΔH and ΔG are given in Table 3.
Materials 13 04484 g014
Figure 15. Calculated energetically favourable possible reaction pathways which could lead to bond scission in model polymers with the –Si–1,4-Ar–Si– bridge. Structures of model polymers are simplified for clarity. Reaction parameters ΔH and ΔG are given in Table 3.
Figure 15. Calculated energetically favourable possible reaction pathways which could lead to bond scission in model polymers with the –Si–1,4-Ar–Si– bridge. Structures of model polymers are simplified for clarity. Reaction parameters ΔH and ΔG are given in Table 3.
Materials 13 04484 g015
Table 1. Characteristics of porous methylsilsesquioxane film (MSSQ) and organosilicate glass films with different types (M—methylene, E—ethylene, B—1,4-phenylene) and content (0–100 mol%) of bridging groups, annealed at 430 °C for 30 min in air (hard bake). In this Table: MTMS is methyltrimethoxysilane, BTESM is 1,2-bis(triethoxysilyl)methane, BTMSE is 1,2-bis(trimethoxysilyl)ethane, BTESB is 1,4-bis(triethoxysilyl)benzene.
Table 1. Characteristics of porous methylsilsesquioxane film (MSSQ) and organosilicate glass films with different types (M—methylene, E—ethylene, B—1,4-phenylene) and content (0–100 mol%) of bridging groups, annealed at 430 °C for 30 min in air (hard bake). In this Table: MTMS is methyltrimethoxysilane, BTESM is 1,2-bis(triethoxysilyl)methane, BTMSE is 1,2-bis(trimethoxysilyl)ethane, BTESB is 1,4-bis(triethoxysilyl)benzene.
Exp.
No
Sample
No
Sol Composition
(mol%)
Thickness d (nm)Shrinkage Δd (%)Refractive IndexPore Radius
(nm)
Porosity (%)Young’s Modulus
(GPa)
k-ValueSkeleton Refractive Index
1MSSQMTMS = 100298151.253.0039.21.7 *2.251.43
225MMTMS = 75
BTESM = 25
243141.261.7139.35.02.351.46
25EMTMS = 75
BTMSE = 25
238201.281.4233.96.62.371.45
25BMTMS = 75
BTESB = 25
312191.311.1035.57.02.391.51
345MMTMS = 55
BTESM = 45
260161.311.1028.08.52.481.44
45EMTMS = 55
BTMSE = 45
419191.281.7136.38.32.601.45
45BMTMS = 55
BTESB = 45
160171.360.7629.311.22.951.53
460MMTMS = 40
BTESM = 60
327271.290.9234.411.6-1.47
60EMTMS = 40
BTMSE = 60
227301.320.9728.016.5-1.47
60BMTMS = 40
BTESB = 60
110381.390.7627.214.1-1.56
5100MMTMS = 0
BTESM = 100
183261.301.4232.814.5-1.47
100EMTMS = 0
BTMSE = 100
203271.321.4232.116.0-1.49
100BMTMS = 0
BTESB = 100
162321.450.7619.618.0-1.58
* the Young’s modulus (YM) value of MSSQ sample was measured by AFM [34].
Table 2. Pore geometry (cylinder disc pore diameter, length, aspect ratio) and pore-to-pore distance of porous methylsilsesquioxane film (MSSQ) and organosilicate glass films with different types (M—methylene, E—ethylene, B—1,4-phenylene) and content (0–100 mol%) of bridging groups. Ellipsometric porosimetry (EP) pore diameters are included for comparison. In this Table: MTMS is methyltrimethoxysilane, BTESM is 1,2-bis(triethoxysilyl)methane, BTMSE is 1,2-bis(trimethoxysilyl)ethane, BTESB is 1,4-bis(triethoxysilyl)benzene.
Table 2. Pore geometry (cylinder disc pore diameter, length, aspect ratio) and pore-to-pore distance of porous methylsilsesquioxane film (MSSQ) and organosilicate glass films with different types (M—methylene, E—ethylene, B—1,4-phenylene) and content (0–100 mol%) of bridging groups. Ellipsometric porosimetry (EP) pore diameters are included for comparison. In this Table: MTMS is methyltrimethoxysilane, BTESM is 1,2-bis(triethoxysilyl)methane, BTMSE is 1,2-bis(trimethoxysilyl)ethane, BTESB is 1,4-bis(triethoxysilyl)benzene.
Exp.
No
Sample
No
Sol Composition (mol%)Grazing-incidence Small-angle X-ray Scattering DataEP Pore Diam.
(nm)
Diam. (nm)Length
L (nm)
Aspect Ratio (diam./length)Pore-to-pore Distance (nm)
1MSSQMTMS = 1004.63.41.35-6.00
225MMTMS = 75
BTESM = 25
3.82.21.7319.03.42
25EMTMS = 75
BTMSE = 25
2.61.22.1710.82.84
25BMTMS = 75
BTESB = 25
2.21.02.208.12.20
345MMTMS = 55
BTESM = 45
2.00.63.33-2.20
45EMTMS = 55
BTMSE = 45
3.42.01.708.63.42
45BMTMS = 55
BTESB = 45
3.21.81.78-1.52
460MMTMS = 40
BTESM = 60
2.01.02.00-1.84
60EMTMS = 40
BTMSE = 60
1.80.63.00-1.94
60BMTMS = 40
BTESB = 60
3.01.52.00-1.52
5100MMTMS = 0
BTESM = 100
2.00.82.50-2.84
100EMTMS = 0
BTMSE = 100
1.80.82.25-2.84
100BMTMS = 0
BTESB = 100
1.60.53.20-1.52
Table 3. Reaction parameters ΔH and ΔG in kcal∙mol−1 according to the reaction pathways given in the reaction schemes in Figure 14 and Figure 15.
Table 3. Reaction parameters ΔH and ΔG in kcal∙mol−1 according to the reaction pathways given in the reaction schemes in Figure 14 and Figure 15.
Reaction–Si–CH2–Si––Si–CH2–CH2–Si––Si–1,4-Ar–Si–
1ΔH = −13
ΔG = −15
ΔH = −26
ΔG = −27
ΔH = −3
ΔG = −4
2ΔH = −19
ΔG = −11
ΔH = −26
ΔG = −14
ΔH = −47
ΔG = −34
3ΔH = −13
ΔG = −15
ΔH = −32
ΔG = −32
ΔH = +15
ΔG = +15
4ΔH = −63
ΔG = −63
ΔH = −51
ΔG = −50
ΔH = +18
ΔG = +17
5ΔH = −57
ΔG = −57
ΔH = −46
ΔG = −46
ΔH = −26
ΔG = −13
6ΔH = −18
ΔG = −20
-ΔH = −5
ΔG = −8
7--ΔH = +25
ΔG = +22
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Vishnevskiy, A.S.; Naumov, S.; Seregin, D.S.; Wu, Y.-H.; Chuang, W.-T.; Rasadujjaman, M.; Zhang, J.; Leu, J.; Vorotilov, K.A.; Baklanov, M.R. Effects of Methyl Terminal and Carbon Bridging Groups Ratio on Critical Properties of Porous Organosilicate Glass Films. Materials 2020, 13, 4484. https://doi.org/10.3390/ma13204484

AMA Style

Vishnevskiy AS, Naumov S, Seregin DS, Wu Y-H, Chuang W-T, Rasadujjaman M, Zhang J, Leu J, Vorotilov KA, Baklanov MR. Effects of Methyl Terminal and Carbon Bridging Groups Ratio on Critical Properties of Porous Organosilicate Glass Films. Materials. 2020; 13(20):4484. https://doi.org/10.3390/ma13204484

Chicago/Turabian Style

Vishnevskiy, Alexey S., Sergej Naumov, Dmitry S. Seregin, Yu-Hsuan Wu, Wei-Tsung Chuang, Md Rasadujjaman, Jing Zhang, Jihperng Leu, Konstantin A. Vorotilov, and Mikhail R. Baklanov. 2020. "Effects of Methyl Terminal and Carbon Bridging Groups Ratio on Critical Properties of Porous Organosilicate Glass Films" Materials 13, no. 20: 4484. https://doi.org/10.3390/ma13204484

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop