Next Article in Journal
Visual Sentiment Analysis from Disaster Images in Social Media
Next Article in Special Issue
Non-Contact Detection of Vital Signs Based on Improved Adaptive EEMD Algorithm (July 2022)
Previous Article in Journal
Distributed Bragg Reflectors Employed in Sensors and Filters Based on Cavity-Mode Spectral-Domain Resonances
Previous Article in Special Issue
Strongest Angle-of-Arrival Estimation for Hybrid Millimeter Wave Architecture with 1-Bit A/D Equipped at Transceivers
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A 78.8–84 GHz Phase Locked Loop Synthesizer for a W-Band Frequency-Hopping FMCW Radar Transceiver in 65 nm CMOS

Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Korea
*
Author to whom correspondence should be addressed.
Sensors 2022, 22(10), 3626; https://doi.org/10.3390/s22103626
Submission received: 14 April 2022 / Revised: 29 April 2022 / Accepted: 7 May 2022 / Published: 10 May 2022
(This article belongs to the Special Issue mm Wave Integrated Circuits Based Sensing Systems and Applications)

Abstract

:
A W-band integer-N phase-locked loop (PLL) for a frequency hopping frequency modulation continuous wave (FMCW) radar is implemented in 65-nm CMOS technology. The cross-coupled voltage-controlled oscillator (VCO) was designed based on a systematic analysis of the VCO combined with its push-pull buffer to achieve high efficiency and high output power. To provide a frequency hopping functionality without any overhead in the implementation, the center frequency of the VCO is steeply controlled by the gate voltage of the buffer, which effectively modifies the susceptance of the VCO load. A stand-alone VCO with the proposed architecture is fabricated, and it achieves an output power of 13.5 dBm, a peak power efficiency of 9.6%, and a tuning range of 3.5%. The phase noise performance of the VCO is −92.6 dBc/Hz at 1-MHz and −106.1 dBc/Hz at 10 MHz offset. Consisting of a third-order loop filter and a divider chain with a total modulus of 48, the locking range of the implemented PLL with the cross-coupled VCO is recorded from 78.84 GHz to 84 GHz, and its phase noise is −85.2 dBc/Hz at 1-MHz offset.

1. Introduction

During the recent decade, advances in nanoscale Complementary Metal-Oxide-Semiconductor (CMOS) technologies have boosted the maximum oscillation frequency (fmax) of transistors far from the mm-wave range, spurring an explosion of high-frequency applications such as millimeter-wave line-of-sight (LOS) wireless communication link system in the E-band, automotive radars in 77 GHz, or 3D imaging systems [1,2,3,4,5,6,7,8]. For the frequency modulated continuous wave (FMCW) radar sensor applications, frequency hopping approaches have been introduced to avoid intentional interference and security threats such as spoofing and jamming attacks [9,10]. A frequency synthesizer is an important part of the frequency hopping FMCW radar that is required to achieve a wide tuning range, and it also requires a low phase noise to ensure desired detection sensitivity and high output power to ensure the proper operation.
Many frequency synthesizers with various architectures or designing techniques have recently been reported [11,12,13,14,15,16,17,18,19,20,21,22,23,24,25]. Most of them can be classified into three groups: frequency-multiplication-based and oscillator-based synthesizers, and the architecture utilizing both types. The first group, i.e., a frequency multiplier (FM), directly multiplies a reference clock (CKref) at low frequencies to the millimeter-wave range regime [22,23,24,25]. The phase noise (PN) performance of an n-time FM is estimated by PN (CKref)–20log(n), where n is the multiplication factor. Therefore, FMs typically can achieve better PN performance compared to the oscillator-based frequency synthesizers owing to the better PN performance of the low-frequency signal sources. However, the reported multiplication factor to date is limited since an FM design can face issues of degraded stability and low conversion gain from the higher multiplication factors, as it requires cascaded multiple stages of the frequency multipliers. Moreover, an FM-based synthesizer still requires a high-performance signal source. By contrast, the oscillator-based frequency synthesizer normally requires a much lower CKref that can be directly supported by low-cost crystal oscillators.
Due to environmental variables such as ambient temperature or supply voltage changes, an on-chip oscillator can face phase drift over time. There are two popular mechanisms used to lock the phase of an oscillator into a specific reference clock. One is a phase-locked loop (PLL) and another is injection locking. Each locking technique has its advantages and disadvantages. The injection-locked (IL) oscillators typically give better phase noises. However, the IL architectures are normally based on frequency multiplication which provides only low division ratios and requires high-frequency reference clocks [26]. Moreover, the injection pulling issues and the narrow locking range are widely conceived drawbacks of the IL oscillators [19]. The PLLs, by contrast, can provide wideband locking ranges, large division ratios that can support a low-frequency reference clock, and more stable operation [19]. The mixed architecture with PLL and FM can be built from a phase-locked oscillator at a low frequency, and then the output of the oscillator is multiplied in the frequency domain to attain the W-band signal [16,18]. In this mixed architecture, the divider chain design for the PLL is relaxed with a lower input frequency and the VCO can provide a better PN due to the higher quality factors of passive devices implemented at lower frequencies. Nevertheless, the low output power and the unwanted harmonics at the output of the FM are the challenges that a mixed frequency synthesizer may face.
In this paper, we present a wideband W-band integer-N PLL using cross-coupled VCO specializing in FMCW radar applications, as illustrated by the block diagram in Figure 1. For an agile frequency control, the internal reference clock for the PLL is generated by mixing the external clock with the direct digital frequency synthesizer (DDFS) signal. An injection locking divider is employed at the first stage of the divider chain to deal with the high-frequency signal at W-band. The inductive feedback technique is applied for the divide-by-three IL divider to widen the locking range as presented in our previous work [27]. The fabricated PLL was measured and achieved a high output power and a good PN merit compared with other recently reported CMOS PLLs.
This paper is organized as follows. Section 2 presents the design and analysis of the cross-coupled VCO combined with an output buffer. The design of the PLL including the divider chain, phase frequency detector, and loop filter is described in Section 3. Section 4 discusses implementation and measurement, and is followed by a conclusion in Section 5.

2. W-Band Cross-Coupled VCO Design

The whole schematic of the cross-coupled VCO with an output buffer is shown in Figure 2. Since the PLL output power is aimed at the milliwatt level for driving the transmitter power amplifier as well as supporting the locking operation of the divider chain, the output of the VCO is connected to a two-stage push-pull buffer to further boost its output power. Thus, it is important to co-design the push-push buffer with the VCO to achieve high efficiency.

2.1. VCO Configuration

The cross-coupled structure is a good choice for a simple, compact, and high-power VCO design. Moreover, with the use of a transformer at the output side, the VCO can naturally be connected to a push-pull amplifier to further boost its output signal. The output of the push-pull buffer can be changed from the single-end to differential configuration without incurring any additional passive element in driving the W-band Divide-by-Three Injection Locked Frequency Divider.

2.2. Cross-Coupled Pair Design Considerations

In low-frequency regions, cross-coupled pairs of transistors (CCPs) commonly use ac-coupling capacitors in cross-feedback paths to bias the gate of the transistors separately from the supply voltage [28]. However, as the frequency becomes higher, a reliable ac-coupling capacitor becomes large compared with the transistor size. In addition, an ac coupling capacitor commonly possesses a low-quality factor. Thus, the gates of the transistors are normally connected directly to their counterpart’s drains as shown in Figure 3a. The schematic of the CCP using a simplified small-signal model of the NMOS with the output port is depicted in Figure 3b. In this model, if the output resistance (Ro1 and Ro2) of the coupled pair is ignored and the circuit is symmetric, the input admittance of the coupled pair can be calculated as
Y i n = I i n ( V i n + V i n ) = 1 2 g m + 1 2 ω 4 C g d + C d s + C g s
With this observation, the capacitance (Cccp) and the negative conductance (Gccp) of the CCP can be extracted from the input admittance as
C c c p = Im { Y i n } ω ; G c c p = Re { Y i n }
Using (2), we extracted effective Cccp and Gccp of a CCP with the transistor size of 32 μm using the layout-extraction of the NMOS as depicted in Figure 4. Up to W-band, the model still works well when the extracted capacitance is almost constant and the negative conductance is slightly reduced as the frequency goes up. If the CCP of NMOS is connected to an inductive circuit such that the external loss is smaller than the active energy generated by Gccp, then the whole circuit will start to oscillate under a presence of a trigger. The swing will keep growing until Gccp is compressed to be the same as that of the loss. Figure 5 demonstrates the large-signal values of Cccp and Gccp extracted from the harmonic-balance s-parameter (HBSP) simulation running at 82 GHz. The Pin in the graph is the power generated from the 50 Ω port.
It can be observed that Gccp degrades quickly when Pin is larger than 4 dBm, and the device loses its active characteristic when the signal is stronger than 12.5 dBm. The capacitance of the pair increases as the oscillation signal is stronger. Hence, the oscillation frequency is slightly reduced compared to the calculated value based on the extracted Cccp under the small-signal regime.

2.3. Variable Capacitor Design

There are three types of variable capacitors available: thin gate NMOS, thin gate PMOS, and thick gate NMOS in the used 65 nm CMOS process. Among them, the thin gate PMOS capacitor has the highest Q-factor with the same fractional capacitance tuning range. Figure 6 depicts the effective capacitance and the Q-factor of the variable capacitor used in the designed VCO.

2.4. VCO Buffer Design with a Coarse Control Knob

Push-pull amplifiers have been widely used in PA design due to their compactness, high efficiency, and high-power performances [29,30]. Since the load of the first buffer stage also affects the tuning range of the VCO, the active device size of the first stage should be restricted to keep a reasonable tuning range of the VCO. Hence, two more push-pull amplifying stages were employed to further boost the output power of the VCO to keep the output power large enough to drive the W-band divide-by-three injection-locked frequency divider. The two-stage buffer structure also enhances the isolation between the CCP and output of the VCO, mitigating the pulling effect of the CCP core from the load variation. It is well-conceived that the differential pair of active devices constructed by two common source amplifiers is conditionally unstable due to the gate-to-drain parasitic capacitor Cgd of the transistors [29]. Regarding the first buffer stage, it is possible to allow it to be conditionally unstable with a stability factor smaller than unity since its input resistance generated by the cross-coupled pair is inherently negative. To stabilize a differential transistor pair of the second buffer stage, we employed the capacitive neutralization technique by adding cross-connected capacitors between the gate and the drain of the pair transistor, which is close to the Cgd of the transistors [29].
Theoretically, if Cgd is neglected, the input impedance observed from the buffer to the load is only the gate capacitance with its parasitic resistance. Therefore, the expected input admittance of the buffer should be a capacitive susceptance in parallel with a conductance. These values were extracted at 82 GHz with various bias values of Vb1, as shown in Figure 7. As observed, the input susceptance of the first buffer varies largely (~60%) depending on the bias voltage (Vb1) of the gate. Therefore, we can effectively tune the oscillation frequency of the VCO by controlling the gate bias voltage (Vb1) of the first stage of the buffer. In the overall synthesizer, Vb1 is used as the coarse tuning knob (VC(coarse)) to change the output frequency of the PLL while the control voltage of the variable capacitor, Vc, in the VCO is used as a fine-tuning knob (VC(fine)) to tune a proper KVCO value in the PLL locking operation. In this way, the frequency hopping operation of the designed PLL could be effectively achieved without any implementation overhead.

2.5. VCO Design

We employed a transformer as the interconnection between the VCO core and buffer as illustrated in Figure 8. Herein, the VCO core including the CCP and the variable capacitors are modeled as Ys = Gs + jBs; the transformer can be generally modeled by a two-port Y-parameters matrix; the buffer is characterized by Yb = Gb + jBb. The input admittance, Yin, and the output admittance, Yout can be calculated as
Y i n = G i n + j B i n = y 11 y 12 y 21 y 22 + Y b
Y o u t = G o u t + j B o u t = y 22 y 12 y 21 y 11 + Y s
where y11, y12, y21, and y22 are elements of the transformer’s Y matrix. The VCO will oscillate at the frequency where the inductive susceptance of Yin is equal to the capacitive susceptance of Ys [31], i.e.,
B i n ( ω o s c ) = B s ( ω o s c )
For the VCO to oscillate, the negative conductance generated by the CCP has to be larger than the total conductance of yin and the variable capacitor, i.e.,
G s > G i n G c c p > G var _ c a p + G i n
Under an appearance of a trigger, the VCO will start to oscillate. The swing will grow until the effective negative conductance of the CCP is equal to the loss from the load. At this operating signal level, the exchange between electric and magnetic energy is balanced; i.e., eff(Ys) = −eff(Yin) at the operating point, and one can verify that under this condition, we also have eff(Yout) = −eff(Yb).
Conjugate matchings at both sides of the transformer should be obtained to maximize its efficiency. Thus, we want to set the admittances as below:
G s = G i n ; G b = G o u t
B s = B i n ; B b = B o u t
The local optimization for the impedance matching level concerning Bs and Bb is attainted with conditions in (8). Interestingly, the input matching formula in (8) is also the condition for the oscillation frequency in (5).
The low-frequency lumped model of a transformer consists of five parameters including L1, R1, L2, R2, and M, which characterize the two winding inductors and their mutual inductance, respectively [32]. The coupling coefficient is defined as k = M/(L1L1)1/2. Two quality factors of the two winding inductors are calculated as Q1 = ωL1/R1 and Q2 = ωL2/R2. The input and output matching susceptance is calculated by [29].
B s _ o p t = 1 ω L 1 × Q 1 2 1 + Q 1 2 + k 2 Q 1 Q 2
B b _ o p t = 1 ω L 2 × Q 2 2 1 + Q 2 2 + k 2 Q 1 Q 2
Formulae in (9) and(10) imply that it is better to design a small transformer for the interconnection between the CCP and the buffer. A smaller primary winding inductance of the transformer can support a larger size of the transistor CCP as well as the variable capacitor. Similarly, at the transformer’s secondary side, it is possible to match a bigger buffer, which can generate a higher output power. However, a small transformer may result in a low coupling factor between the two coils, which degrades the transformer efficiency, assessed by k2Q1Q2 [32]. Moreover, the accuracy of simulation can deteriorate when the routing layout becomes considerable compared to the modeled transformer.
The VCO in the PLL is implemented with the element parameters given in Table 1. A stand-alone (SA) version of VCO having the same structure with a single-ended output is implemented with a set of circuit parameters given in the same table. The SA VCO is designed to support a full band measurement, and it is optimized in terms of output power performance with a larger transistor in the second push-pull amplifier. Meanwhile, the VCO in the PLL was designed with a larger tuning range to support the locking operation of the PLL. A photograph of the SA VCO chip fabricated on 65-nm CMOS is shown in Figure 9. The chip size of the full SA VCO including all DC and radio frequency (RF) pads is 0.667 mm2, and the core size is 0.065 mm2.

3. Integer-N Phase-Locked Loop Design

3.1. Divider Chain

We employed an integer-N PLL considering its simplicity and robustness. To achieve a fast settling time, the reference frequency was chosen to be relatively high by setting the modulus N = 48. The divider chain is composed of five stages to achieve the desired modulus. Different divider structures were employed along the chain to achieve proper frequency division at different frequency regimes. The schematic of the divider chain is depicted in Figure 10. There are three divider topologies popularly used in the millimeter-wave and microwave regime, which include injection-locked (IL) dividers, Miller dividers, and static current mode logic (CML) dividers. Among them, the IL topology achieves the highest frequency operation due to its injection locking mechanism [33]. Hence, the first stage is realized as an injection locking divide-by-three divider (ILFD) to deal with a high-frequency input at the W-band generated by the VCO. An inductive feedback network was employed for transistors M1 of the ILFD as shown in Figure 10a to enhance its locking range. This technique was demonstrated to improve the fractional bandwidth of the ILFD up to approximately 11% [27]. Since the ILFD required a specific level of input signal for a proper locking operation, which is simulated to be around 0-dBm, the VCO output was designed to achieve at least this signal level in the worst condition, with a safety margin considering the process variation.
Working at a lower frequency, the four remaining stages were realized as static CML dividers (CML-FDs) to achieve a wider band operation, as shown in Figure 10b,c. Nevertheless, the operation frequency of the first CML divider stage is still relatively high, thus additional inductors are connected in series to the load of the first CML-FD to increase its output voltage swing as shown in Figure 10b. Each CML-FD includes a source follower (SF) stage to increase its driving capability to the next stage. The output of the divider chain is finally connected to two stages of inverter-type buffers to generate the rail-to-rail output driver for the FD and PD, as shown in Figure 10d. A simulation result of the divider chain is shown in Figure 10e when a W-band input of 0-dBm is introduced to the divide-by-three ILFD, and it shows all the divider stages functioned normally with output voltage waveforms shaped as expected.

3.2. PD, FD, Loop Filter, and the Reference Clock

The schematics and the simulation results of the PD, FD, and the loop filter are presented in Figure 11. The PD is implemented using a Gilbert-mixer structure to achieve good compression of the reference spurs and mitigate the dead-zone problem [11,34,35]. When the loop is locked, the PD output contains an AC signal at twice the reference signal frequency and a DC component with the value functioning as the cosine of the phase difference between the two inputs [34]. It is noticed that the large reference frequency facilitates the loop filter in suppressing the AC component in the output of the PD. The phase difference between the two inputs of the PD is 90° in the locking condition. Then, the output voltage signal of the PD is converted to the current by the V-to-I converter to drive the loop filter to control VCO, as shown in Figure 1.
The FD helps to expand the frequency locking range of the PLL, and it is realized with a typical bang-bang structure, as given in Figure 12c. In the designed FD, three high–speed D-type flip-flops are used to extract the frequency different values between the two inputs. The detailed operating principle of the FD can be found elsewhere [35]. When the frequency is locked, the bang-bang FD automatically switches off to avoid any disturbance to the VCO. The associated V-to-I converter of the FD is shown in Figure 12d. It is designed to have a larger pumping current compared to that of the PD to ensure the dominance of the FD during the frequency acquisition period.
The loop filter is designed based on the typical third-order filter as shown in Figure 12e. The loop bandwidth was chosen to be higher than 10 MHz to reduce the settling time of the locking operation, which is an important factor in the FMCW radar system where the reference frequency of the PLL is swept linearly in a short stairstep manner.
The internal reference frequency (Fint_ref) for the PLL is generated by mixing the external reference signal with the frequency swept signal generated from the Direct Digital Frequency Synthesis (DDFS) using a double-balanced passive mixer as depicted in Figure 12. The internal reference clock is then amplified by two stages of the inverter-type amplifier with the designed bandwidth to reject the image from the mixer. The PLL was implemented in 65-nm CMOS technology and a microphotograph of the PLL is presented in Figure 13. The whole PLL including pads occupies an area of 2.37 × 1.74 mm2.

4. Measurement Results

4.1. Stand-Alone VCO Measurements

The setups to measure the oscillation frequency (Fosc), phase noise (PN), and the output power (Pout) of the VCO are demonstrated in Figure 14. An 18th harmonic mixer (Agilent 11970W) was used to measure the spectrum of the W-band signal from the VCO by down-converting the VCO output with a LO signal from the signal generator (Agilent 83623B). The intermediate frequency signal (IF) was then input into a spectrum analyzer (E4407B) to measure the frequency and PN performance. The conversion loss of the harmonic mixer and other cable connections was estimated to be around 48-dB or even higher (~50-dB) depending on IF frequency, as estimated in [11]. Figure 15 shows the PN performance of the VCO when Vb1 = Vb2 = 0.7 V, which was read to be −92.6 dBc/Hz and −106.1 dBc/Hz at 1-MHz and 10-MHz, respectively. The phase noise of the signal generator was measured to be −128.3 dBc/Hz at 1-MHz offset and −144.4 dBc/Hz at 10-MHz offset. This means the PNs of the LO signal after being multiplied 18 times are calculated by −103.2 dBc/Hz at 1-MHz offset and −119.3 dBc/Hz at 10 MHz. Thus, the PNs of the measurement setup were still more than 10-dB smaller compared with the measured PNs of the VCO signal at corresponding frequency offsets, which justifies the PN measurement results from the IF of the harmonic mixer. The measured Fosc of the VCO is shown in Figure 16. When the varactor control voltage (Vc) varies from 0-V to 1.2-V and the bias tuning voltage (Vb1) varies from 0.2-V to 1.4-V, the oscillation frequency of the VCO changes from 82-GHz to 84.9-GHz.
The output power was measured by a W-band power sensor (W8486A) combined with a power meter. The external losses of the probe and the waveguide connections were calibrated from the read output power of the VCO. In the measurement, the output power of the VCO was almost unchanged, as Vc varies. However, it depends on the value of Vb1 as shown in Figure 17. The calculated efficiency from those values is shown in the same figure as well. The measured results of the SA VCO were summarized in Table 2 in comparison with other state-of-art VCOs reported recently [36,37,38,39,40,41,42,43,44,45]. The designed VCO in this work achieved superior output power and high efficiency among W-band CMOS VCOs owing to the efficient co-design of the output buffer.

4.2. PLL Measurements

The measurement setup for the PLL is similar to that for the SA VCO, and it is shown in Figure 18. Herein, for typical measurements as an oscillator, the DDFS ports were injected by a continuous wave signal from a signal generator. Under a supply of 1.2-V, the PLL consumed a dc-power of 301.4 mW at the coarse tuning voltage of Vb1 = 0.2-V and 351.4-mW with Vb1 = 1.2-V. The whole locking range of the PLL was recorded from 78.84 to 84 GHz with the continuous tuning range of Vb1 from 0.2 to 1.2 V. For a fixed value of Vb1, the locking range of the PLL was measured to be ~400-MHz, and it is slightly reduced as Vb1 increases. The measured output power of the PLL versus the operating frequency is given in Figure 19. The PLL generated an output power larger than 0.6 dBm over the whole locking band with a peak of 5.2-dBm at 78.8-GHz. A down-converted IF spectra of the PLL in the locking condition and its PN performance are shown in Figure 20a. The reference spur in this case was dominated by the high noise level of the harmonic mixer used in the measurement. Due to the absence of the reference spur in the measured IF, we can estimate that it should be smaller than the noise level, i.e., smaller than ~40 dBc compared to the main tone. The measured PNs at 1-kHz, 1-MHz, and 10-MHz were −67.5, −85.2, and −106.7 dBc/Hz, respectively, as shown in Figure 20b.
To verify the operation of the PLL for an FMCW radar transceiver, a DDFS was used to generate a sweeping signal with the frequency changed by step, which was injected into the DDFS port of the PLL. The PLL’s output was then down-converted to the IF band by using the harmonic mixer Agilent 11970W, which was finally captured on the spectrum analyzer (E4407B). Figure 21 shows the measured down-converted output spectrum of the PLL with a frequency-modulated signal with the linear frequency step of 1-MHz with Vb1 = 0.66-V. As can be observed, the output of the PLL can track the modulated signal of the DDFS port correspondingly.
The performance of the PLL is summarized in Table 3 in comparison with other recently reported CMOS and SiGe PLLs around the W-band.

5. Conclusions

We presented a W-band frequency synthesizer implemented with an integer-N phase-locked loop (PLL) with a fundamental VCO for a frequency hopping FMCW radar transceiver in 65-nm CMOS technology. The cross-coupled pair of active devices were used as the core of the VCO and a two-stage push-pull buffer was co-designed to achieve high power and efficiency. By controlling the gate voltage of the first stage of the VCO buffer, the output frequency could be effectively hopped without any implementation overhead. A standalone version of VCO with an enhanced output power was verified and it achieved an output power of 13.5 dBm, and the phase noise performance of −92.6 and −106.1 dBc/Hz at 1-MHz and 10-MHz offsets. Considering a fast settling time, the PLL utilized a modulus N = 48. The first divider stage was implemented with a divide-by-three injection-locked divider using inductive feedback to expand the locking range. The implemented W-band PLL achieved an output power of 5.8-dBm with PN of −67.5 and −85.2 dBc/Hz at 1-MHz and 10-MHz, respectively. The modern radar and wireless communication systems acquire higher performance frequency synthesizers in terms of phase-noise, output power, and tuning range to catch the trend of multiple target tracking in radars [46] or improve the inter-symbol interference (ISI) in communication systems when higher carriers are employed to support broadband data rate [47]. The presented W-band wideband PLL architecture is applicable to various CMOS transceivers in FMCW radar and 6G communication applications.

Author Contributions

Formal analysis, V.-S.T.; conceptualization and supervision, J.-D.P.; data curation validation, V.-S.T., H.N. and J.-M.S.; writing—original draft, V.-S.T. and H.N.; writing—review and editing, J.-D.P. All authors have read and agreed to the published version of the manuscript.

Funding

This was supported by the Institute-of-the-art of Information & Communications Technology Planning & Evaluation (IITP) grant funded by the Korean government (MSIT) (No. 2021-0-00198, Development of key technologies for 6G RF front-end based on low-power MIMO and highly efficient spatial QAM synthesis).

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Uwaechia, A.N.; Mahyuddin, N.M. A Comprehensive Survey on Millimeter Wave Communications for Fifth-Generation Wireless Networks: Feasibility and Challenges. IEEE Access 2020, 8, 62367–62414. [Google Scholar] [CrossRef]
  2. Pan, D.; Duan, Z.; Wu, B.; Wang, Y.; Huang, D.; Wang, Y.; Sun, L.; Gui, P.; Cheng, L. A 76-81-GHz Four-Channel Digitally Controlled CMOS Receiver for Automotive Radars. IEEE Trans. Circuits Syst. I Regul. Pap. 2021, 68, 1091–1101. [Google Scholar] [CrossRef]
  3. Papotto, G.; Nocera, C.; Finocchiaro, A.; Parisi, A.; Cavarra, A.; Castorina, A.; Ragonese, E.; Palmisano, G. A 27-mW W-Band Radar Receiver with Effective TX Leakage Suppression in 28-Nm FD-SOI CMOS. IEEE Trans. Microw. Theory Tech. 2021, 69, 4132–4141. [Google Scholar] [CrossRef]
  4. Wu, J.; Deng, W.; Chen, Z.; Zheng, W.; Liu, Y.; Wang, S.; Qi, N.; Chi, B. A 77-GHz mixed-mode FMCW generator based on a vernier TDC with dual rising-edge fractional-phase detector. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 60–73. [Google Scholar] [CrossRef]
  5. Ahmad, W.A.; Kucharski, M.; Ergintav, A.; Abouzaid, S.; Wessel, J.; Ng, H.J.; Kissinger, D. Multimode W-band and D-band MIMO scalable radar platform. IEEE Trans. Microw. Theory Tech. 2021, 69, 1036–1047. [Google Scholar] [CrossRef]
  6. Ma, T.; Deng, W.; Chen, Z.; Wu, J.; Zheng, W.; Wang, S.; Qi, N.; Liu, Y.; Chi, B. A CMOS 76-81-GHz 2-TX 3-RX FMCW radar transceiver based on mixed-mode PLL chirp generator. IEEE J. Solid-State Circuits 2020, 55, 233–248. [Google Scholar] [CrossRef]
  7. Kissinger, D.; Kahmen, G.; Weigel, R. Millimeter-wave and terahertz transceivers in SiGe BiCMOS technologies. IEEE Trans. Microw. Theory Tech. 2021, 69, 4541–4560. [Google Scholar] [CrossRef]
  8. Arbabian, A.; Callender, S.; Kang, S.; Rangwala, M.; Niknejad, A.M. A 94 GHz mm-Wave-to-Baseband pulsed-radar transceiver with applications in imaging and gesture recognition. IEEE J. Solid-State Circuits 2013, 48, 1055–1071. [Google Scholar] [CrossRef]
  9. Moon, T.; Park, J.; Kim, S. Blue FMCW: Random Frequency Hopping Radar for Mitigation of Interference and Spoofing. EURASIP J. Adv. Signal Process 2022, 1–17. [Google Scholar] [CrossRef]
  10. Lazaro, A.; Porcel, A.; Lazaro, M.; Villarino, R.; Girbau, D. Spoofing Attacks on FMCW Radars with Low-Cost Backscatter Tags. Sensors 2022, 22, 2145. [Google Scholar] [CrossRef]
  11. Lee, J.; Liu, M.; Wang, H. A 75-GHz Phase-Locked Loop in 90-Nm CMOS Technology. IEEE J. Solid-State Circuits 2008, 43, 1414–1426. [Google Scholar] [CrossRef]
  12. Tsai, K.-H.; Liu, S.-I. A 43.7 mW 96GHz PLL in 65 nm CMOS. digest of technical papers. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 8–12 February 2009; pp. 276–277. [Google Scholar]
  13. Xu, Z.; Gu, Q.J.; Wu, Y.-C.; Jian, H.-Y.; Wang, F.; Chang, M.F. An Integrated Frequency Synthesizer for 81-86GHz Satellite Communications in 65nm CMOS. In Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium, Anaheim, CA, USA, 23–25 May 2010; pp. 57–60. [Google Scholar]
  14. Chao, Y.; Luong, H.C.; Hong, Z. A 0.6/1.2-V 14.1-mW 96.8GHz-to-108.5GHz Transformer-Based PLL with Embedded Phase Shifter in 65-Nm CMOS. In Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium, Tampa, FL, USA, 1–3 June 2014; pp. 93–96. [Google Scholar]
  15. Tsai, K.; Liu, S. A 104-GHz phase-locked loop using a VCO at second pole frequency. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2012, 20, 80–88. [Google Scholar] [CrossRef]
  16. Tan, K.-W.; Chu, T.-S.; Hsu, S.S.H. A 76.2-89.1 GHz phase-locked loop with 15.6% tuning range in 90 Nm CMOS for W-Band applications. IEEE Microw. Wirel. Compon. Lett. 2015, 25, 538–540. [Google Scholar] [CrossRef]
  17. Shahramian, S.; Hart, A.; Tomkins, A.; Carusone, A.C.; Garcia, P.; Chevalier, P.; Voinigescu, S.P. Design of a Dual W- and D-Band PLL. IEEE J. Solid-State Circuits 2011, 46, 1011–1022. [Google Scholar] [CrossRef]
  18. Wang, C.-C.; Chen, Z.; Heydari, P. W-Band Silicon-Based frequency synthesizers using injection-locked and harmonic triplers. IEEE Trans. Microw. Theory Tech. 2012, 60, 1307–1320. [Google Scholar] [CrossRef]
  19. Kang, S.; Chien, J.-C.; Niknejad, A.M. A W-Band Low-Noise PLL with a Fundamental VCO in SiGe for Millimeter-Wave Applications. IEEE Trans. Microw. Theory Tech. 2014, 62, 2390–2404. [Google Scholar] [CrossRef]
  20. Yi, X.; Liang, Z.; Feng, G.; Meng, F.; Wang, C.; Li, C.; Yang, K.; Liu, B.; Boon, C.C. A 93.4-104.8-GHz 57-mW Fractional- Cascaded PLL with True in-Phase Injection-Coupled QVCO in 65-Nm CMOS Technology. IEEE Trans. Microw. Theory Tech. 2019, 67, 2370–2381. [Google Scholar] [CrossRef]
  21. Huang, Z.; Luong, H.C. An 82–107.6-GHz Integer-N ADPLL Employing a DCO with Split Transformer and Dual-Path Switched-Capacitor Ladder and a Clock-Skew-Sampling Delt-Sigma TDC. IEEE J. Solid-State Circuits 2019, 54, 358–367. [Google Scholar] [CrossRef]
  22. Ku, B.; Chung, H.; Rebeiz, G.M. A Milliwatt-Level 70-110 GHz Frequency Quadrupler with >30 dBc Harmonic Rejection. IEEE Trans. Microw. Theory Tech. 2020, 68, 1697–1705. [Google Scholar] [CrossRef]
  23. Vehring, S.; Ding, Y.; Scholz, P.; Gerfers, F. A 3.1-dBm E-Band Truly Balanced Frequency Quadrupler in 22-Nm FDSOI CMOS. IEEE Microw. Wirel. Compon. Lett. 2020, 30, 1165–1168. [Google Scholar] [CrossRef]
  24. Chou, M.; Chiu, H.; Kao, H.; Huang, F. A 60-GHz CMOS Frequency Tripler with Broadband Performance. IEEE Microw. Wirel. Compon. Lett. 2017, 27, 281–283. [Google Scholar] [CrossRef]
  25. Ali, A.; Yun, J.; Kucharski, M.; Ng, H.J.; Kissinger, D.; Colantonio, P. 220-360-GHz Broadband Frequency Multiplier Chains (X8) in 130-nm BiCMOS Technology. IEEE Trans. Microw. Theory Tech. 2020, 68, 2701–2715. [Google Scholar] [CrossRef]
  26. Thyagarajan, S.V.; Kang, S.; Niknejad, A.M. A 240 GHz Fully Integrated Wideband QPSK Receiver in 65 Nm CMOS. IEEE J. Solid-State Circuits 2015, 50, 2268–2280. [Google Scholar] [CrossRef]
  27. Nam, H.; Park, J. A W-Band Divide-by-Three Injection-Locked Frequency Divider with Injection Current Boosting Utilizing Inductive Feedback in 65-Nm CMOS. IEEE Microw. Wirel. Compon. Lett. 2020, 30, 516–519. [Google Scholar] [CrossRef]
  28. Chen, J.; Jonsson, F.; Carlsson, M.; Hedenäs, C.; Zheng, L.-R. A low power, startup ensured and constant amplitude class-c VCO in 0.18 μm CMOS. IEEE Microw. Wirel. Compon. Lett. 2011, 21, 427–429. [Google Scholar] [CrossRef]
  29. Trinh, V.-S.; Park, J.-D. A 25.1 dBm 25.9-dB Gain 25.4% PAE X-band power amplifier utilizing voltage combining transformer in 65-nm CMOS. IEEE Access 2021, 9, 6513–6521. [Google Scholar] [CrossRef]
  30. Trinh, V.; Park, J. A 16.3 dBm 14.1% PAE 28-dB gain W-Band power amplifier with inductive feedback in 65-nm CMOS. IEEE Microw. Wirel. Compon. Lett. 2020, 30, 193–196. [Google Scholar] [CrossRef]
  31. Razavi, B. A 300-GHz fundamental oscillator in 65-nm CMOS technology. IEEE J. Solid-State Circuits 2011, 46, 894–903. [Google Scholar] [CrossRef]
  32. Trinh, V.; Park, J. Theory and design of impedance matching network utilizing a lossy on-chip transformer. IEEE Access 2019, 7, 140980–140989. [Google Scholar] [CrossRef]
  33. Razavi, B. A study of injection locking and pulling in oscillators. IEEE J. Solid-State Circuits 2004, 39, 1415–1424. [Google Scholar] [CrossRef]
  34. Ng, A.W.L.; Leung, G.C.T.; Kwok, K.; Leung, L.L.K.; Luong, H.C. A 1-V 24-GHz 17.5-mW phase-locked loop in a 0.18-/spl mu/m CMOS process. IEEE J. Solid-State Circuits 2006, 41, 1236–1244. [Google Scholar] [CrossRef]
  35. Lee, J. High-speed circuit designs for transmitters in broadband data links. IEEE J. Solid-State Circuits 2006, 41, 1004–1015. [Google Scholar] [CrossRef]
  36. Socher, E.; Jameson, S. Wide tuning range W-band Colpitts VCO in 90 nm CMOS. Electron. Lett. 2011, 47, 1227–1229. [Google Scholar] [CrossRef]
  37. Adnan, M.; Afshari, E. A 105-GHz VCO with 9.5% tuning range and 2.8-mW peak output power in a 65-nm Bulk CMOS process. IEEE Trans. Microw. Theory Tech. 2014, 62, 753–762. [Google Scholar] [CrossRef]
  38. Momeni, O.; Afshari, E. High power terahertz and millimeter-wave oscillator design: A systematic approach. IEEE J. Solid-State Circuits 2011, 46, 583–597. [Google Scholar] [CrossRef] [Green Version]
  39. Koo, H.; Kim, C.; Hong, S. A G-Band standing-wave push-push VCO using a transmission-line resonator. IEEE Trans. Microw. Theory Tech. 2015, 63, 1036–1045. [Google Scholar] [CrossRef]
  40. Trivedi, V.P.; To, K.-H.; Huang, W.M. A 77GHz CMOS VCO with 11.3 GHz Tuning Range, 6dBm Output Power, and Competitive Phase Noise in 65nm Bulk CMOS. In Proceedings of the 2011 IEEE Radio Frequency Integrated Circuits Symposium, Baltimore, MD, USA, 5–7 June 2011; pp. 1–4. [Google Scholar]
  41. Tarkeshdouz, A.; Mostajeran, A.; Mirabbasi, S.; Afshari, E. A 91-GHz Fundamental VCO with 6.1% DC-to-RF Efficiency and 4.5 dBm Output Power in 0.13-μm CMOS. IEEE Solid-State Circuits Lett. 2018, 1, 102–105. [Google Scholar] [CrossRef]
  42. Jameson, S.; Socher, E. A 93.9–102.5 GHz Colpitts VCO utilizing magnetic coupling band switching in 65nm CMOS. In Proceedings of the 2015 IEEE International Conference on Microwaves, Communications, Antennas and Electronic Systems (COMCAS), Tel Aviv, Israel, 2–4 November 2015; pp. 1–5. [Google Scholar]
  43. Sapone, G.; Ragonese, E.; Italia, A.; Palmisano, G. A 0.13-nm SiGe BiCMOS Colpitts-Based VCO for -Band Radar Transmitters. IEEE Trans. Microw. Theory Tech. 2013, 61, 185–194. [Google Scholar] [CrossRef]
  44. Aghasi, H.; Afshari, E. An 88-GHz compact fundamental oscillator with 19.4% DC-to-RF efficiency and 7.5-dBm output power in 130-nm SiGe BiCMOS. IEEE Solid-State Circuits Lett. 2018, 1, 106–109. [Google Scholar] [CrossRef]
  45. Wu, K.; Hella, M. A 103-GHz voltage controlled oscillator with 28% tuning range and 4.2 dBm Peak output power using SiGe BiCMOS technology. In Proceedings of the IEEE/MTT-S International Microwave Symposium, Philadelphia, PA, USA, 10–15 June 2018; pp. 606–609. [Google Scholar]
  46. Su, Y.; Cheng, Z.; He, Z.; Deng, M. Communication-awareness adaptive resource scheduling strategy for multiple target tracking in a multiple radar system. IET Signal Process 2022, 1–12. [Google Scholar] [CrossRef]
  47. Hu, W.; Zhang, M.; Li, Z.; Popov, S.; Leeson, M.; Xu, T. High-Dimensional Feature Based Non-Coherent Detection for Multi-Intensity Modulated Ultraviolet Communications. J. Lightwave Technol. 2022, 40, 1879–1887. [Google Scholar] [CrossRef]
Figure 1. Block diagram of the implemented W-band PLL.
Figure 1. Block diagram of the implemented W-band PLL.
Sensors 22 03626 g001
Figure 2. Schematic of the cross-coupled VCO with two buffer stages.
Figure 2. Schematic of the cross-coupled VCO with two buffer stages.
Sensors 22 03626 g002
Figure 3. (a) A cross-coupled pair of NMOS with a port; (b) the simplified small-signal model of the circuit in (a).
Figure 3. (a) A cross-coupled pair of NMOS with a port; (b) the simplified small-signal model of the circuit in (a).
Sensors 22 03626 g003
Figure 4. The small-signal extracted capacitance (Cccp) and negative conductance (Gccp) of a CCP with an NMOS the size of 32 μm versus frequency.
Figure 4. The small-signal extracted capacitance (Cccp) and negative conductance (Gccp) of a CCP with an NMOS the size of 32 μm versus frequency.
Sensors 22 03626 g004
Figure 5. The HBSP-extracted effective capacitance (Cccp) and negative conductance (Gccp) of a CCP with an NMOS the size of 32 μm versus applied input power (Pin) from the 50Ω-port.
Figure 5. The HBSP-extracted effective capacitance (Cccp) and negative conductance (Gccp) of a CCP with an NMOS the size of 32 μm versus applied input power (Pin) from the 50Ω-port.
Sensors 22 03626 g005
Figure 6. The input admittance of the buffer versus gate voltage bias.
Figure 6. The input admittance of the buffer versus gate voltage bias.
Sensors 22 03626 g006
Figure 7. The input admittance of the buffer versus gate voltage bias (Vb1).
Figure 7. The input admittance of the buffer versus gate voltage bias (Vb1).
Sensors 22 03626 g007
Figure 8. The schematic circuit of the VCO using a transformer at the output.
Figure 8. The schematic circuit of the VCO using a transformer at the output.
Sensors 22 03626 g008
Figure 9. A photograph of the standalone VCO fabricated in 65-nm CMOS.
Figure 9. A photograph of the standalone VCO fabricated in 65-nm CMOS.
Sensors 22 03626 g009
Figure 10. Schematic of the divider chain: (a) the injection-locked FD; (b) the first CML FD; (c) the second, third, and the fourth CML FD; (d) rail-to-rail buffer; (e) A simulation result of the divider chain.
Figure 10. Schematic of the divider chain: (a) the injection-locked FD; (b) the first CML FD; (c) the second, third, and the fourth CML FD; (d) rail-to-rail buffer; (e) A simulation result of the divider chain.
Sensors 22 03626 g010
Figure 11. Schematics of (a) the phase detector; (b) the V-to-I converter for the PD; (c) frequency detector; (d) V-to-I converter for the FD, and (e) the loop filter.
Figure 11. Schematics of (a) the phase detector; (b) the V-to-I converter for the PD; (c) frequency detector; (d) V-to-I converter for the FD, and (e) the loop filter.
Sensors 22 03626 g011
Figure 12. Schematic of the mixer to generate the internal reference frequency for the PLL.
Figure 12. Schematic of the mixer to generate the internal reference frequency for the PLL.
Sensors 22 03626 g012
Figure 13. A microphotograph of the proposed PLL.
Figure 13. A microphotograph of the proposed PLL.
Sensors 22 03626 g013
Figure 14. Measurement setups for the SA VCO: (a) frequency and phase noise (b) output power.
Figure 14. Measurement setups for the SA VCO: (a) frequency and phase noise (b) output power.
Sensors 22 03626 g014
Figure 15. The measured phase noise of the stand-alone VCO.
Figure 15. The measured phase noise of the stand-alone VCO.
Sensors 22 03626 g015
Figure 16. The measured oscillation frequency of the SA VCO as a function of Vc = Vc(fine).
Figure 16. The measured oscillation frequency of the SA VCO as a function of Vc = Vc(fine).
Sensors 22 03626 g016
Figure 17. The measured output power and efficiency of the VCO versus bias voltage Vb1 = VC(coarse).
Figure 17. The measured output power and efficiency of the VCO versus bias voltage Vb1 = VC(coarse).
Sensors 22 03626 g017
Figure 18. PLL measurement setup: (a) schematic diagram; (b) photo.
Figure 18. PLL measurement setup: (a) schematic diagram; (b) photo.
Sensors 22 03626 g018
Figure 19. The measured output power of the PLL versus frequency.
Figure 19. The measured output power of the PLL versus frequency.
Sensors 22 03626 g019
Figure 20. Measured output spectra of the PLL: (a) spectrum; (b) phase noise.
Figure 20. Measured output spectra of the PLL: (a) spectrum; (b) phase noise.
Sensors 22 03626 g020
Figure 21. Measured down-converted output spectra of the frequency-modulated PLL with the modulation frequency fm = 1 MHz and coarse tuning bias voltage VC(coarse) = Vb1 = 0.66-V.
Figure 21. Measured down-converted output spectra of the frequency-modulated PLL with the modulation frequency fm = 1 MHz and coarse tuning bias voltage VC(coarse) = Vb1 = 0.66-V.
Sensors 22 03626 g021
Table 1. Circuit component parameters of the two VCOs.
Table 1. Circuit component parameters of the two VCOs.
DeviceSize (in PLL)Size (SA VCO)
M1W = 32 μm; L = 65 nmW = 32 μm; L = 65 nm
Cv (MOS CAP)W = 7.2 μm; L = 0.5 μmW = 5.4 μm; L = 0.5 μm
TF1Din = 18 umDin = 20 um
M2W = 40 μm; L = 65 nmW = 46μm; L = 65 nm
TF2Din = 26 μmDin = 22 μm with an additional inductor at the secondary side
M3W = 80 μm; L = 65 nmW = 140 μm; L = 65 nm
Cc (MOM CAP)16 fF34 fF
TF3Din = 24 μmDin = 22 μm
Co (MOM CAP)26 fF28 fF
Table 2. Comparison of state-of-the-art VCOs around W-band.
Table 2. Comparison of state-of-the-art VCOs around W-band.
Ref.StructureProcessVDD/
VCC
Freq. (GHz)Tuning RangePout (dBm)PDC (mW)Phase Noise (dBc/Hz)DC-RF Eff. (%)Area (mm2)FOM
ThisCross-coupled65-nm CMOS1.2 V83.53.513.5167–235−92.6@1 MHz
106.1@
10 MHz
9.60.065−205.4
[36]Diff. Colpitts90-nm CMOS1.8 V97.77.24.76–63−80@
1 MHz
5.50.063−189.0
[37]Coupled Oscillator65-nm CMOS1.2 V1059.54.554 (1)−92.8@
1 MHz
5.20.228 (2)−204.4
[38]Ring Oscillator130-nm CMOS1.5 V104NA−2.728−93.3@
1 MHz
1.90.16 (2)NA
[39]Push-Push65-nm
CMOS
1 V81.514−0.53397.3 (5)@
1 MHz
2.70.046202.3 (5)
[40]Pseudo-diff. (4)65-nm CMOS1 V7714.56.2190−88@
1 MHz
2.2NA−198.6
[41]Cross-coupled130-nm CMOS1.8 V910.54.546−87@
1 MHz
6.10.51 (2)−172.5
[42]Diff. Colpitts65-nm CMOS1.8 V988.7−14–21.6−90@
1 MHz
3.70.18 (2)−193.3
[43]Colpitts-based130-nm SiGe2.5 V744.862 (3)65−99.3@
1 MHz
2.40.017 (6)−196.2
[44]Self-feeding130-nm
SiGe
0.82–0.96 V881 (7)7.5 (7)-−106.9 (7)@
1 MHz
19.4 (7)0.028 (2)−186.2
[45]Colpitts-based130-nm
SiGe
1.2–1.65 V103.5284.250−108.7@
1 MHz
5.30.16−229.4
(1) Power consumption of the core only, excluding the buffers. (2) Area including the pads. (3) Estimated using the transmitter and power amplifier measurement. (4) The fundamental VCO is at 38 GHz, then it feeds the signal to a doubler and a buffer. (5) Including buffer for fundamental oscillation signal. (6) Area of the core only (without buffer). (7) A varactor-less design with tuning supply voltage using an external bias tee F O M = F N ( Δ f o f f s e t ) 20 log f 0 Δ f o f f s e t × T R [ % ] 10 + 10 log E [ % ] + P o u t [ d B m ] .
Table 3. Comparison of state-of-the-Art PLLs around W-band.
Table 3. Comparison of state-of-the-Art PLLs around W-band.
Ref.Tech.Frequency
(GHz)
TR
(%)
PN @1 MHz
(dBc/Hz)
Reference FrequencyReference
Spur (dBc)
Pout
(dBm)
Pdiss
(mW)
Division RatioArea
(mm2)
This65-nm CMOS78.84~846.4−85.21.64–1.75 GHz12.45.8326.4484.12
[11]90-nm CMOS73.4~73.720.43−922.34 GHz2.5−18.588320.8
[12]65-nm CMOS95.1~96.51.5−75.9373 MHz2−26.743.72560.7
[13]65-nm CMOS70~7810.8−8370 MHz0.3−18.9761024~19840.31
[14]65-nm CMOS96.8~108.511.5−88195 MHz1NA14.15120.39
[15]65-nm CMOS103~104.51.5−80.4406 MHz2−23.1632560.84
[16]90-nm CMOS76.2~89.115.6−87.950 MHz0.1−2.962.45121.3
[20]65-nm CMOS93.4~104.811.5−85.75100 MHzNANA57NA0.8755
[21]65-nm CMOS82.0~107.627NA125 MHzNANA35.5NA0.36
[17]130-nm SiGe86~926.7−1000.6~6 GHz1.7~8.5−31150161.87
[18]180-nm SiGe90.9~101.410.9−92125 MHz1−111402561.9
[19]130-nm SiGe92.7~100.27.8−1023 GHz203469.3640.93
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Trinh, V.-S.; Nam, H.; Song, J.-M.; Park, J.-D. A 78.8–84 GHz Phase Locked Loop Synthesizer for a W-Band Frequency-Hopping FMCW Radar Transceiver in 65 nm CMOS. Sensors 2022, 22, 3626. https://doi.org/10.3390/s22103626

AMA Style

Trinh V-S, Nam H, Song J-M, Park J-D. A 78.8–84 GHz Phase Locked Loop Synthesizer for a W-Band Frequency-Hopping FMCW Radar Transceiver in 65 nm CMOS. Sensors. 2022; 22(10):3626. https://doi.org/10.3390/s22103626

Chicago/Turabian Style

Trinh, Van-Son, Hyohyun Nam, Jeong-Moon Song, and Jung-Dong Park. 2022. "A 78.8–84 GHz Phase Locked Loop Synthesizer for a W-Band Frequency-Hopping FMCW Radar Transceiver in 65 nm CMOS" Sensors 22, no. 10: 3626. https://doi.org/10.3390/s22103626

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop