Next Article in Journal
FlexiS—A Flexible Sensor Node Platform for the Internet of Things
Next Article in Special Issue
Comprehensive Analytical Modelling of an Absolute pH Sensor
Previous Article in Journal
Analysis of Vehicle Collision on an Assembled Anti-Collision Guardrail
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Process Variability in Top-Down Fabrication of Silicon Nanowire-Based Biosensor Arrays

Institute of Materials in Electrical Engineering 1, RWTH Aachen University, Sommerfeldstr. 24, 52074 Aachen, Germany
*
Author to whom correspondence should be addressed.
Sensors 2021, 21(15), 5153; https://doi.org/10.3390/s21155153
Submission received: 9 July 2021 / Revised: 27 July 2021 / Accepted: 27 July 2021 / Published: 29 July 2021
(This article belongs to the Special Issue Field-Effect Sensors: From pH Sensing to Biosensing)

Abstract

:
Silicon nanowire field-effect transistors (SiNW-FET) have been studied as ultra-high sensitive sensors for the detection of biomolecules, metal ions, gas molecules and as an interface for biological systems due to their remarkable electronic properties. “Bottom-up” or “top-down” approaches that are used for the fabrication of SiNW-FET sensors have their respective limitations in terms of technology development. The “bottom-up” approach allows the synthesis of silicon nanowires (SiNW) in the range from a few nm to hundreds of nm in diameter. However, it is technologically challenging to realize reproducible bottom-up devices on a large scale for clinical biosensing applications. The top-down approach involves state-of-the-art lithography and nanofabrication techniques to cast SiNW down to a few 10s of nanometers in diameter out of high-quality Silicon-on-Insulator (SOI) wafers in a controlled environment, enabling the large-scale fabrication of sensors for a myriad of applications. The possibility of their wafer-scale integration in standard semiconductor processes makes SiNW-FETs one of the most promising candidates for the next generation of biosensor platforms for applications in healthcare and medicine. Although advanced fabrication techniques are employed for fabricating SiNW, the sensor-to-sensor variation in the fabrication processes is one of the limiting factors for a large-scale production towards commercial applications. To provide a detailed overview of the technical aspects responsible for this sensor-to-sensor variation, we critically review and discuss the fundamental aspects that could lead to such a sensor-to-sensor variation, focusing on fabrication parameters and processes described in the state-of-the-art literature. Furthermore, we discuss the impact of functionalization aspects, surface modification, and system integration of the SiNW-FET biosensors on post-fabrication-induced sensor-to-sensor variations for biosensing experiments.

1. Introduction

Devices for point-of-care testing (POCT) gained attention in recent years due to the societal need for on-demand analysis and a rising market for such devices. New technologies and device miniaturization foster this ever-increasing growth in the development of POCT devices. The sensor needs to provide a clear signal with low false-positive and low false-negative rates for point-of-care applications. More importantly, it should be easy to use and disposable [1]. Biosensors based on silicon nanowire field-effect transistors (SiNW-FET) are amongst the most promising candidates for future clinical POCT diagnostic technology due to their low limit-of-detection (LoD), the possibility for multiplexing, and label-free sensing [2,3,4]. As illustrated in Figure 1, the SiNW-FET is used for versatile applications ranging from sensing of ions and biomolecular detection, action potential recording. SiNW-FETs show ultra-high sensitivity to detect different biomolecules such as DNA, proteins, or antibody-antigens [5,6,7,8]. Furthermore, SiNW-FETs have been utilized to study not only the action potential of cardiac muscle cells or neurons [9,10] but also the action potential propagation along the axon of a neuron [11]. Compared to their planar and microscale counterpart, SiNW-FETs show an increased signal-to-noise (S/N) ratio during the recording of action potentials [9]. By modifying the surface of the SiNW with an ion-specific aptamer enables local monitoring of K+ efflux during neurotransmission [12].
Nevertheless, a commercial breakthrough of this remarkable biosensor is still pending [13]. One of the hurdles for the applications is the sensor-to-sensor variation, which is caused by the complexity of the sensor preparations. The sensor-to-sensor variation induces the variation in the electrical performance of the sensors and thus creates the need for recalibration for the response of different devices [14]. The need for calibration increases the chance of user errors, leading to an incorrect response of the sensor and limiting the applicability of label-free SiNW-FET biosensors in general.
Several factors are involved in the sensor-to-sensor variation of the SiNW-FETs, including sensor design, sensor fabrication, surface chemistry, and readout methods. These aspects need to be optimized for final products using the SiNW-FETs to meet the standard requirements of point-of-care diagnostic tools. A reliable and reproducible sensor design and fabrication processes are the first and most crucial steps in the SiNW-FET biosensor fabrication blockchain. It is important to identify aspects in the design and fabrication process that may cause the variations.
SiNW-FET sensors are fabricated by either “top-down” or “bottom-up” approaches [15,16]. In the “bottom-up” approach, firstly, SiNWs are vertically grown on a silicon substrate using Vapor-Liquid-Solid (VLS) technique or oxide assisted growth (OAG) technique [17,18,19]. Secondly, the SiNWs are transferred and laid down to another substrate using different methods, such as polydimethylsiloxane (PDMS) transfer or Langmuir–Blodgett transfer techniques [4,15,20]. Finally, electrical contacts to the SiNWs by electron beam lithography and lift-off techniques using noble metals are created. A precise arrangement of the SiNWs on a wafer-scale level is challenging with the current transfer techniques, and thus, the “bottom-up” is limited in the device integration and large-scale production, a key factor for POCT application. Due to its intrinsic limitations, the “bottom-up” approach is less favorable for large-scale biosensors fabrication [16].
The “top-down” approach is based on the well-established complementary metal-oxide semiconductors (CMOS) industrial processes allowing very-large-scale integration and thus enabling low-cost fabrication [4,21]. Hence, this approach is much more attractive in large-scale production and system integration. Starting from a Silicon-on-Insulator (SOI) wafer, the structure of the SiNW sensor is firstly defined at desire positions on top of the wafer by advanced lithographic methods such as electron-beam lithography (EBL), nanoimprint lithography (NIL), or sidewall transfer lithography (STL) [22,23,24]. Subsequent etching techniques, either by reactive ion etching (RIE) or wet chemical etching using tetramethylammonium hydroxide (TMAH) or a combination of both techniques, are used to transfer the structure to the top silicon layer of the SOI wafer. Afterward, microfabrication techniques are used to finalize the devices. Ion-implantation was used to create the source and the drain as well as to create the ohmic contact for the device. An ultra-thin layer of oxide was grown on top of the SiNW to create the gate dielectric layer. A thick passivation layer was deposited on the source and the drain contact to enable the device to work reliably when interfacing with the liquid environment [6,13,22,25,26,27,28,29,30]. Each fabrication step induces variations that may alter the electronic characteristic from device to device. Even though variations will always occur during fabrication, they can be minimized by the layout of the sensor and the choice of the process. The patterning and etching of the top silicon layer can induce geometrical variations, influencing the electrical parameters such as the threshold voltage, the subthreshold slope, or the transconductance (and thus the sensitivity) of single devices. Furthermore, the formation of high-quality ohmic contacts is crucial for the reliable readout of the SiNW-FET devices. Variations in feed line resistance will alter the sensitivity from device to device. The sensing layer—the gate dielectric—of SiNW-FET devices affects many characteristics of the sensor and thus needs to be controlled to reduce variations. However, insufficient reproducibility is not only limited by the fabrication process itself but can also occur during packaging or surface chemistry processes.
In literature reviews on the usage of SiNWs in cancer detection [31,32], biologically sensitive field-effect transistors [33], nanowires bioelectric interfaces [34], the detection principles of biological field-effect transistors [35], and the overall application and functionality of (hybrid) nanowires as (bio)sensors [36,37,38] have been already discussed. This review will summarize the technological “top-down” approaches of SiNWs-based biosensor fabrication to obtain highly sensitive nanoscale SiNW-FETs and analyze aspects that may lead to sensor-to-sensor variation. Chronologically, a short introduction to the SiNW biosensor and its detection principles for sensing applications following by the discussion for the design and fabrication considerations, the state-of-the-art fabrication techniques, the effects of microfluidic integration and surface chemistry concerning the variation between different devices. Finally, we will discuss how to decrease the sensor-to-sensor variation and improve the fabrication processes.

2. SiNW-FET Biosensor

2.1. Structure of SiNW FET-Based Biosensors

Label-free biosensors are analytical devices that transduce the binding of target molecules to their biologically sensitive layer into an electrical signal (Figure 2a). Biological sensitive SiNW-FETs have a similar structure to the traditional metal-oxide-semiconductor field-effect transistor (MOSFET) except from the metal gate electrode. As shown in Figure 2b, the gate dielectric is in direct contact with a liquid, and a reference electrode that is submerged in the liquid provides the gate voltage for the SiNW-FET sensor. Other voltage sources are connected to the source and the drain contacts during the device operation. Varying the gate voltage will lead to the electrical current change between the source and drain of the SiNW-FET. A bio(receptor) layer is introduced on the gate dielectric layer using a surface chemistry process. A binding event of target molecules to the bio(receptor) layer causes a change in the electrical response of the SiNW-FET (transducer). A SiNW-FET sensor consists of small wires, with a width in the nanometer regime and a length of a few micrometers (Figure 2c). The wires are contacted via extended feed line contacts to source and drain, which have a typical length of a few millimeters (Figure 2d). Ohmic contact to the SiNW is formed either by ion implantation, silicidation, or using a metal or combination of all techniques mentioned earlier [16,39]. The feed line contacts are passivated to avoid the electrical contacts shortcutting with the liquid (Figure 2c).

2.2. Readout Methods of SiNW-FETs

There are two principles to read out the electrical signal of the SiNW-FET upon the binding of target molecules to the bioreceptor layer on the functionalized gate oxide, namely potentiometric and impedimetric readouts [41,42]. The potentiometric readout is based on the change of the surface potential caused by the binding of charged molecules. As shown in Figure 2e, the change in the surface potential results in a shift of the threshold voltage (Vth) or a change of the drain-source current (Ids) at a fixed working point (Vgs = constant and Vds = constant). A difference in the sensitivity (the transconductance gm value), the subthreshold slope (when measuring in the subthreshold regime), or thickness of the functional layer (e.g., silanes) from device-to-device causes the sensor-to-sensor variation on their electrical signal [43,44,45]. Figure 2f visualizes the fabrication-induced variation of the gm value, which results in varying sensitivity from device to device.
The impedimetric readout is based on a change in input impedance due to a biomolecule binding onto the nanowire surface [8]. The SiNW-FET is set at a fixed working point, and a small sinusoidal signal, 5–10 mV, is added to its gate electrode. The binding of biomolecules on the gate oxide causes a change in its effective gate capacitance and resistance of the SiNW-FET [8,41,46,47]. The change of the input impedance results in a change in its frequency response. Variations in the capacitance and serial resistance of the feed lines, the thickness of the functional layer, the gate oxide capacitance, and the reference electrode will cause the sensor-to-sensor variation [8,46].

3. Design and Fabrication Considerations of SiNW-FET Biosensors

3.1. Nanowire: Dimensions and Pattering Method

The nanowire determines the electrical properties, LoD, and signal-to-noise (S/N) ratio of the biosensor. It is well-known that the sensitivity of Si NWs-based biosensors increases with a higher surface-to-volume (S/V) ratio [16,48]. The conductance change of an NW defines the sensitivity parameter S of such devices due to binding events occurring on their surface. According to Park et al. [49], the sensitivity of SiNW as the change of the conductance can be expressed as the following equation for a nanoscale p-type SiNW-FET:
S = Δ G G o w + 2 h w × h N S N A
where ΔG is the change in conductance, h is the NW height, w is the width of the NW, N S is the surface charge density, and N A represents the doping concentration of the NW channel [49,50]. From Equation (1), it is clear that the sensitivity increases with decreasing the cross-section of the nanowire (smaller height and width). However, downscaling of NWs have a high impact on the sensor-to-sensor variation as well, since the width of the NW becomes more dominant in the regime of a few tens of nanometer and thus leads to higher variations from device-to-device. Here, it should also be noted that shorter nanowires show a higher sensitivity compared to longer ones [16,48]. As shown in Equation (1), the sensitivity of a SiNW-FET increases with decreasing doping concentration (NA) in the SiNW. Nair et al. showed that a low doping concentration of dopant in the SiNW is required to be smaller than 1017 cm−3 to ensure a highly sensitive biological sensing performance of the biosensor [48].
Top-down fabricated SiNW-FETs are usually fabricated on SOI wafers with a low doping concentration [3,6,22,24,51]. However, the choice of the starting material (in general, the SOI wafer) has an extreme impact on the electrical properties of the device. In most cases, the top silicon layer needs to be thinned down to define the height of the resulting NW. Therefore, SOI wafers with low top Si layer thicknesses (<90 nm) are favored to avoid thickness variations induced by the thinning processes [13,27]. Thinning of the top Si layer can be performed by either thermal oxidation combined with an HF-dip or by wet etching using the standard cleaning one (SC1) solution (NH4OH:H2O2:H2O) [13,26,52]. Thermal oxidation of the top Si layer leads to thickness variations. A process with very low thickness variation down to ±0.9 nm has been demonstrated by Zafar et al. [13]. Due to the low etching rate (between 0.32–0.66 nm/min) of Si in SC1 solution, a thinning of the Si layer by wet etching can be precisely controlled, with thickness variations of less than ±0.3 nm [26,52]. The lower the thickness variation of the Si-layer on SOI wafers is, is the lower the variation in the resultant SiNW height, and this is expected to reduce the difference in sensitivity of different devices and, therefore, reduces the sensor-to-sensor variations.
Sensor variations can occur due to random dopant fluctuations within the nanowire channel. For instance, a 10 µm long SiNW with a 10 nm diameter having a doping density of 1017 cm−3 would contain only about 80 dopant atoms in the active channel, and shorter wires have even less dopant [48]. For such small devices, random fluctuation of the channel doping concentration N A will induce sensitivity variations between different devices. The variation in the threshold voltage σVth due to random doping fluctuation can be estimated by the following equation
σ V t h = q 3 ε S i ϕ B 4 2 T O X ε O X N A 4 W e f f L e f f
where q is the electron charge, ε S i and ε O X are the permittivity of silicon and the dielectric material, T O X is the thickness of the dielectric layer, ϕ B is the built-in potential of the drain/source-to-channel pn junction, and W e f f   a n d   L e f f are the effective width and length of the SiNW, respectively [53]. Thus, with a large and a long SiNW, the impact of random doping fluctuation decreases, and so does the sensor-to-sensor variation [48]. However, it will decrease the sensitivity of the sensors, as shown in Equation (1). A trade-off between the sensitivity and the doping fluctuation needs to be taken into account to decrease the sensor-to-sensor variation. A higher sensitivity of the SiNW-FET sensor can be achieved by operating the sensor in the subthreshold regime [45].
In addition, Zafar et al. have shown the dependency of V t h on the SiNW width as a basis for sensor-to-sensor variation for long channel devices. As depicted in Figure 3, V t h shows a high dependency on the SiNW widths below 25 nm [13]. Lithographic processes such as EBL, NIL, or STL are typically used to define the geometry of the SiNW. The line edge roughness (LER) of the lithography processes is a major source of device-to-device variation since LER is becoming a larger fraction of the width of downscaled SiNW sensors. By considering this effect, the SiNW width should not be too small to achieve a low sensor-to-sensor variation. Besides, Regonda et al. have shown that devices consisting of more than one SiNW (e.g., a SiNW-FET consisting of 100 SiNWs in parallel) would reduce the variation in threshold voltage and subthreshold slope to a minimum of 1.8% and 4.73%, respectively [54].
Furthermore, the structuring of the SiNW needs to be controlled to reduce geometrical variations. The structuring of the silicon is conducted by either wet or dry etching [13,25]. Anisotropic wet etching of Si can be realized by using TMAH [25], resulting in a trapezoidal shape of the SiNW. The patterning of the SiNW with RIE would result in vertical sidewalls with (110) orientation. Figure 4 shows the resulting structure of dry and wet etched NWs. It has been reported that wet-etched SiNW-FETs have a lower subthreshold swing and a higher S/N ratio than that of the dry-etched NWs [55]. As shown in Table 1, it should be considered that dry-etched NWs have a low S/N ratio due to plasma-induced defects on the SiNW surface [55,56]. The 1/f noise of SiNW-FETs is proportional to the Hooge Constant αH. The low-frequency noise SI is defined as
S I = α H I d 2 f β N
where N is the number of carriers, f is the frequency. The exponential factor β is usually found in a range 0.8 < β < 1.2 [55]. Therefore, a lower αH indicates a higher S/N ratio. The defects of dry-etched SiNW-FETs can be reduced by reducing the ion energy during the etching process or by additional dry oxidation, followed by an HF-dip to remove the damaged silicon [13]. A wet etch has the advantage of being highly controllable due to the slow etching of the (111) plane. However, changes in the etching rate of Si in TMAH solution due to a change in TMAH concentration caused by water evaporation need to be considered [57]. This is of high importance when it comes to the large-scale fabrication of SiNW-FETs.

3.2. The Drain and Source Contacts

The electrical contacts, known as the drain and the source contacts or feed lines, play a crucial role in the sensor-to-sensor variations. Since the electrical performance (e.g., transconductance [43], high-frequency behavior, low-frequency noise, and power consumption) of SiNW-FETs is based on the electrical resistance of the drain and the source contacts, low-resistance feed lines are important [58]. The drain-source current Id, in the unsaturated region, through the NW channel can be expressed as
I d = β V g s V t h V d s 1 2 V d s 2
where β = µCoxW/L is a geometry constant, Vgs and Vds are the gate-source and drain-source voltages, and Vth is the threshold voltage. This approximation of Id, however, does not consider the resistance of the feed lines. With the incorporation of the drain resistance (Rd) and source resistance (Rs), the drain current Id of real NW devices is given by
I d = β V d V g s 1 2   V d s V t h 1 + β R d + R s V g s 1 2   V d s V t h
Equation (5) implies that the drain current Id of the transistor is influenced by the drain and source resistance [43]. Figure 5c,d illustrates the impact of the drain and the source feed line resistance on the resulting Id − Vgs characteristic. Higher serial resistance will decrease the current. Consequently, a higher resistance of the drain and the source contacts has an impact on the transconductance of the device and thus affects the sensitivity. Variations of drain and source feed lines also cause sensor-to-sensor variation. Therefore, the resistance of the feed lines of different devices needs to be identical to obtain identical sensitivity of the devices and thus eliminate the effect of the feed line contact resistance to the sensor-to-sensor variation. The feed line resistances of source and drain contact can be optimized in the layout design of the sensor by taking into account the sheet resistance value of the feed lines and controlling the homogeneity of the thickness or doping level of the feed lines in the fabrication. As shown in Figure 5a,b two different approaches are used to create ohmic contacts. The metal contacts can be created close to the NWs (Figure 5a) or at a certain distance (Figure 5b). A sensor design with an intermediate highly doped silicon feed line allows the passivation by high-quality thermal oxide [27], while sensors with metal feed lines next to the NWs need to be passivated by CVD processes [22] or polyimide [59].
As discussed above, the feed lines affect the device sensitivity of the sensors in a DC readout method, and it also affects the frequency response of SiNW-FETs in an impedimetric readout method. Here, variations in the feed lines resistance of the drain and the source contacts cause a minor impact on the frequency response of the device [60]. Indeed, the parasitic capacitance of the drain and the source feed lines influences the frequency response of the SiNW sensor. A dependency of the cut-off frequency and the amplitude of a SiNW-FET transfer function was intensively discussed by Abhiroop et al. and Nguyen et al. [46,60]. As shown in Figure 6, the frequency response of a SiNW-FET depends on the solution resistance (Rsol), the capacitance (CBio) and resistance (RBio) of the biological layer, and the parasitic capacitance (CCLS and CCLD) of the feed lines. Therefore, sensor-to-sensor variations can be compensated by reducing variations between the feed line resistance and by minimizing area variations of feed lines.
Since SiNW-FETs are often fabricated on an ultra-thin top Si-layer of the SOI wafer, a further modification of the feed lines to lower their resistance is required. A heavy ions implantation in combination with a metal or a stack of metals is most commonly used in the fabrication of the SiNW–FET, as presented in Table 2 [3,13,22]. Due to the skinny top silicon layer on the SOI wafer, the ion implantation needs to be carried out in a low energy process to obtain a homogenous distribution of the dopant in the feed line. Due to the required heavy ion- implantation, the implantation cost is higher when the doping energy is lower, thus increasing the fabrication costs per wafer. Al is used to form an Ohmic contact with the heavily doped Si [25,26,27,30], and a protective metal layer is used to prevent reactions of the Al with the surrounding environment since Al is a highly reactive metal. These processes are highly controllable, and thus resulting in a low device-to-device variation. A second approach to create low-resistance contacts is the use of silicide contacts [40]. Here, metals (e.g., Ti [61] or Ni [62]) are sintered on undoped silicon to form a metal-silicon alloy. However, the uncontrollable consumption of silicon during annealing can lead to higher sensor-to-sensor variations compared to the ion-implantation method [16,62].

3.3. The Gate Oxide

Since the gate oxide affects many characteristics of SiNW-FET devices, such as threshold voltage, hysteresis, and subthreshold swing, a high-quality gate dielectric is needed [13,50]. One of the most important parameters of SiNW-based biosensors is the threshold voltage Vth since the shift in Vth is a measure for the detection of biomolecules. Generally, the Vth of a SiNW-FET is given by
V t h = E r e f Ψ s + χ s o l Ψ S i q Q o x + Q s s C o x Q B C o x + 2 ϕ F
here, E r e f is the potential of the reference electrode, Ψ s the surface potential, χ s o l the surface dipole potential, Ψ S i the work function of silicon, q the elementary charge, ϕF is the difference between the Fermi level of intrinsic silicon and the actual Fermi level of the device,   C o x the capacitance of the gate oxide, Q o x , Q s s and Q B are the fixed charges in the oxide, the surface state density, and the depletion charge, respectively. Derived from Equation (5), the Vth is dependent on the gate capacitance, the fixed charges, and the surface state density, which is influenced by the thickness and quality of the dielectric material and the interface between the dielectric and silicon. On the one hand, thickness variations along the wafer result in a variation of the gate capacitance and, thereby, varying Vth. On the other hand, variations in dielectric thickness along a single NW induce changes in the subthreshold slope [13]. Figure 7 shows a comparison of the cross-section of an NW with homogeneous and nonhomogeneous SiO2 layers and the simulation results showing the changes in the subthreshold slope. Furthermore, alignment variation of the gate area is known to induce sensor-to-sensor variations leading to changes in Vth [27]. An additional oxide growth during plasma-enhanced chemical vapor deposition (PECVD) processes to passivate the drain and source feed lines should be compensated in order to reduce oxide thickness variations (compare Figure 7c) [13]. It has been shown that the formation of the gate oxide after the feed line passivation in a fabrication protocol leads to a minimum variation in oxide thickness resulting in only a low variation of Vth [25,27]. In the following, we will summarize state-of-the-art processes to reduce these variations during gate oxide fabrication.
Silicon dioxide (SiO2) is the most common gate material in the semiconductor industry due to its dielectric properties and CMOS compatibility. The growth of SiO2 is a well-controlled process leading to a high-quality Si/SiO2 interface with minimal variation in oxide thickness [13,27,63]. To create a high-quality Si/SiO2 interface, a standard RCA cleaning protocol prior to the gate oxidation is of high importance. Differences in the cleaning procedure can create differences in the Si/SiO2 interface quality and thus lead to Vth variations and hysteresis of the device characteristics. In addition, SiO2 has drawbacks, such as uncontrollable drifting behavior, low pH buffer capacity, and incorporation of charged ions present in the analyte sample [35,50,51,64,65]. Materials with a high dielectric constant, so-called high-k materials, such as aluminum oxide and hafnium oxide, can overcome these issues. Higher gate capacitances achievable from such high-k dielectrics allow an increase in the thickness of the gate dielectric resulting in favorable conditions such as reduction in gate leakage current [36]. Even so, the use of high-k materials adds more complexity to the fabrication process. These materials are often deposited using atomic layer deposition (ALD), which can create defects at the Si/high-k material interface [13,16,66,67,68,69]. Furthermore, it has been reported that the carrier mobility of FET devices with a high-k material in contact with silicon is usually less than that of FETs with SiO2 as gate oxide dielectric [67]. A stack of SiO2 and high-k materials as gate dielectrics combines the advantages of both materials. Thermal oxidation leads to a high-quality Si/SiO2 interface with a low interfacial trap density. The additional high-k material offers nearly Nernstian pH sensitivity, an effective ion diffusion barrier, a low leakage current, and low leakage voltage operation [13,16,66]. Bae et al. reported a drift rate of only 0.25 mV/h for a dielectric layer stack made of SiO2/Al2O3 while a SiNW-FET made of SiO2 had a drift rate of 45.24 mV/h [50]. Besides, Table 3 provides a performance overview of different gate material combinations of SiO2 and other high-k materials. A combination of SiO2/Al2O3 leads to the lowest drifting rate and lowest hysteresis with an increased pH-sensitivity compared to the SiO2 layer.

4. Fabrication Methods for SiNW Based Biosensors

4.1. Electron Beam Lithography (EBL)

EBL is one of the most common, advanced lithographic processes involved in the fabrication of SiNW based biosensors. A typical fabrication process of SiNW-FET using EBL is presented in Figure 8 (top). EBL has demonstrated its ability to process high-resolution nanostructures with high flexibility due to maskless patterning. However, EBL is a time-consuming and high-cost fabrication process. To reduce the cost and to increase the high throughput of the fabrication, a combination between EBL using negative tone resists such as hydrogen silsesquioxane (HSQ) and optical lithography was used and thus far have been able to achieve large scale fabrication with variations in Vth down to ±28 mV [13,70]. To achieve such low variations, practical factors such as stage tilt, inhomogeneous resist thickness, write field alignment, and thermal drift during long-term writing need to be compensated to reduce variations in the nanowire width and position of the nanowire on wafers. During long-term exposures, the thermal drifting effect can be reduced by minimizing the writing time and changing the carrier material [13].
Geometrical variations are one of the most relevant factors that lead to sensor-to-sensor variation. Therefore, line edge roughness (LER) is a crucial parameter that needs to be investigated during the fabrication of SiNW-FETs. Since lithographic features are not perfectly smooth, LER defines the deviation of a real photoresist edge from an expected one. The effect of LER concerning sensor-to-sensor variations has been investigated for MOSFETs as well as for SiNW-FETs [13,71]. The reduction of LER leads to a lower sensor-to-sensor variation. The LER depends on the resist thickness and the electron beam dose. A higher electron beam dose results in a lower LER but increases the nanowire width. The resist thickness has to be as thin as possible to reduce the LER since the LER increases with the resist thickness. Figure 8 (bottom) shows the results of wet etched nanowires using EBL processes with HSQ resist for patterning.
Table 4 provides an overview of the fabrication results and the variation in threshold voltage. Zafar et al. have shown that the variation can be reduced (e.g., the variation in gm was reduced from 11% to 3%) by considering the design of the SiNW and by optimizing other steps in the fabrication process [13].

4.2. Sidewall Transfer Lithography (STL)

STL is a low-cost and high-throughput patterning technique to transfer nanoscale structures using standard lithography processes. As shown in Figure 9, an STL process involves the deposition of a dielectric material and a sacrificial support material [23]. The support material is deposited and structured to define the position of the resulting NWs. A hard mask material (e.g., Si3N4) is deposited by plasma-enhanced chemical vapor deposition (PECVD) and structured using RIE. The reliability and reproducibility and thus the sensor-to-sensor variation of STL fabricated nanowires depend on the control of the thickness of the deposited material, the conformal deposition of the sidewall layer, the selective etching of the sacrificial material, and the anisotropy of the RIE process.

4.3. Nanoimprint Lithography (NIL)

NIL is a fully CMOS compatible nanofabrication process, in which a stamp is used to transfer its negative image into a temperature- (T-NIL) or light-sensitive (UV-NIL) resist. As shown in Figure 10, the imprinting technique relies on the mechanical transfer of the pattern into the nanoimprint resist followed by a polymerization process of the resist. Typically, the stamp is coated by a release layer to guarantee the quality of the resist pattern upon release of the stamp after polymerization. After imprinting the pattern into the resist, the residual layer, which is the remaining resist in the imprinted areas of the pattern, is removed using an anisotropic reactive ion etching (RIE) process [75]. As for other lithography techniques, LER is an issue of NIL as well. Yu et al. presented a low-cost and easy implementation method for reduced LER of nanoimprint resists. A thermal treatment above the glass transition temperature reduces the LER of imprint resists drastically [76]. Besides its major advantages, such as high throughput (up to 80 wafers per hour) and low-cost fabrication, NIL also allows the transfer of micro-and nanostructures simultaneously [22,26,27,77]. Since nano- and microstructures are patterned in the same step, variations due to misalignment of micro- and nanostructures are reduced. However, NIL also has some drawbacks, such as inhomogeneous residual layer thickness and alignment problems between nanoimprint mold and the lithography masks, which can induce sensor-to-sensor variation [27].
Nevertheless, the fabrication of SiNW biosensors using NIL can result in performance variation of different devices down to 7% [27]. Table 5 presents an overview of sensor-to-sensor variation of wafer-scale NIL processes. The sensor-to-sensor variation is addressed not only to the NIL process itself but also to the quality of the mold and the size variation of the nanowire’s template on the mold. Therefore, size variations of structures on the mold need to be reduced. Since EBL is commonly used to fabricate such molds, aspects discussed for the EBL fabrication of nanostructures need to be considered for the fabrication of nanoimprint molds.

5. System Integration

5.1. Surface Functionalization for Biosensing Applications

Surface functionalization is of significant importance when it comes to label-free biosensing applications. To realize a high sensitivity and specificity, the choice of receptor molecules needs to be considered. The target molecule must bind with high affinity and selectivity to the receptor molecules on the sensing area. Silanization with 3-aminopropyltriethoxysilane (APTES) or Glycidyloxypropyltrimethoxysilane (GPTES) is the most common method for surface modification, used for covalent binding of receptor biomolecules to the gate oxide surface [5,8,44,78,79]. This process can be carried out either in gas-phase or in liquid-phase [6,8,27,44,80]. It applies that the thinner the silane layer, the higher the sensitivity of a SiNW-FET [81]. A monolayer of siloxane resulting from the surface modification process increase sensitivity and reduce sensor-to-sensor variations. It has been reported that gas-phase silanization can lead to APTES layer thickness of 20 ± 2 Å in comparison to a liquid phase silanization, which usually results in a minimum layer thickness of 40 ± 5 Å [44,79]. Therefore, sensor-to-sensor variations can be reduced by favoring gas-phase silanization processes over liquid-phase methods. Munief et al. presented a protocol for gas-phase deposition of different silanes with a low silane thickness and a versatile, uniform, and large-area coating of SiO2 substrates [80], which can be applied to the surface modification of the SiNW-FET.
After surface modification, the analyte-specific receptor molecules (e.g., aptamers or ssDNA) are immobilized on the SiNW-FET surface via covalent bonding between the receptor and silane-modified oxide surface. A non-uniform immobilization of charged receptor molecules onto the SiNW-FET surface is expected to induce variable surface charges and influence the Vth of the sensors. Here, the composition of the charged biofunctional layer determines the sensor characteristics of the SiNW-FET device. In an ideal case, the receptor molecules are located only at the SiNW-FET surface and enable high specific localized binding of analytes exclusively to the NW surface, as presented in Figure 11a,b. As shown in Figure 11c, a selective surface modification (SSM) decreases the LoD compared to that of an all-area modification (AAM) approach [78]. Park et al. have demonstrated a method for selective functionalization of single silicon nanowires via joule heating [82]. Here, a protective polymer layer was used to prevent the functionalization of other areas than the desired NW. The protective polymer (polytetrafluoroethylene (PTFE)) was removed from the NW surface using joule heating. After a cleaning procedure, the NW could be selectively functionalized by linker molecules. The whole process of the functionalization of single NWs is illustrated in Figure 11b.
High-temperature processes such as joule heating of nanowires may be unsuitable for specific applications or sensor structures. Therefore, localized immobilization is carried out using the micro spotting technique, as shown in Figure 11d [6]. Single droplets containing relevant receptor molecules (e.g., aptamers) are spotted onto the desired area with a diameter of about 200 µm. However, differences in capture molecule concentration or misalignment of the droplet lead to sensor-to-sensor variations. However, threshold variations of only 4.9% have been reported for such localized immobilization of capture molecules using micro spotting [83].
The type of receptor molecules influences the sensor performance. To achieve high selectivity and specificity, the chemistry for binding the molecule to the surface needs to be considered [84]. We refer to already exiting reviews for a detailed overview of how to graft recognition elements onto solid surfaces [85,86,87]. In the following, we briefly discuss the use of different kinds of recognition molecules. Antibodies are often used in biosensing applications due to their high specificity antibody-antigen binding. The use of antibody fragments results in the same specificity as the whole antibody and provides a smaller size, which is of great interest when considering general limitations such as Debye screening [84,88,89]. A loss of biological activity of the antibodies upon immobilization has been noticed due to the random orientation of the asymmetric antibody on the supported surface [90]. Several approaches for achieving oriented coupling of antibodies to the surfaces and the antigen-binding capacity are summarized by Lu et al. [90].
Aptamers (single-stranded DNA or RNA sequences folded into a three-dimensional structure) are often used for the detection of specific target molecules. They show a high affinity and specificity to their targets. Furthermore, they feature an easy coupling to the sensor surface and high reproducibility, which is of great interest to sensor-to-sensor variations [84]. As described above, sensor-to-sensor variations mainly depend on the homogeneity of the silane layer and the density of receptor molecules bound to the SiNW-FET surface. In general, an ideal surface modification of the oxide surface, a choice of the suitable receptor molecules, and controlling the density of the receptor layer will increase the sensor sensitivity and decrease the sensor-to-sensor variation.

5.2. Microfluidic Integration

The microfluidic integration to the SiNW-FETs allows a controlled supply of fluids containing target molecules of interest. Concerning commercial applications of SiNW-FETs, the microfluidic integration of such sensors allows automated fluid handling, which enables high throughput and low-cost analyses [91]. Microfluidic channels of dimensions of several 10 s up to 100 s of micrometers are typically used for fluidic integration of biosensors to handle small quantities of analyte samples allowing for rapid and low-cost analysis. These fluidic channels are often made from polydimethylsiloxane (PDMS) containing an inlet and an outlet (compare Figure 12) [29]. The geometrical variations of the microfluidic channel will alter the transport of species. Especially for diffusion-based sensing approached or investigations of molecular interactions, differences in the geometry will change the sensor response. The need to include a reference electrode without a fluidic leak increases the complexity of the sensor integration and may induce additional sensor-to-sensor variation due to changes in the relative position of the reference electrode to the NW devices [27].
As a solution to the fluidic integration of the reference electrode, the realization of an on-chip reference electrode would reduce sensor-to-sensor variations. The reference electrode position is of major importance, particularly for the AC readout, since the resistance of the analyte has an impact on the recorded spectra [8,46,47]. Several approaches for on-chip pseudo-reference electrodes have been investigated. Silver-silver chloride (Ag/AgCl) based redox systems are the most accurate ones of the available pseudo-reference electrode types. The fabrication of such solid-state pseudo-reference electrodes has been described [92,93]. To enhance the stability of the Ag/AgCl on-chip pseudo-reference electrodes, KCl membranes were used to prevent corrosion caused by the electrolyte and to provide a constant potential independent of the Cl ion concentration [92,93]. Other concepts of on-chip pseudo-reference electrodes are based on the catalytic properties of platinum or iridium oxide. These, however, show high pH sensitivity or low potential stability [94,95]. As an alternative, the mixed electronic-ionic conduction of conductive polymers (e.g., polypyrrole), which provide a stable interface in liquids, can be used as on-chip pseudo-reference electrodes for the applications [96,97].

6. Conclusions and Outlook

We discussed different fabrication and design-induced parameters, including the design of NWs, feed line configuration, and the impact of the gate dielectric, which critically influence sensor-to-sensor variations of NW-based biosensor platforms. The fabrication process of such downscaled NW structures needs to be precisely controlled to reduce geometrical variations between the different devices. It is difficult to find the balance between sensitivity and low sensor-to-sensor variation since the sensitivity increases with smaller dimensions (high S/V ratio) while the variation among individual devices increases. The starting SOI wafer should have a low doping concentration to ensure high sensitivity and a low initial thickness to reduce the height variations of the SiNW-FET. The thinning process of the top Si-layer needs to be controlled to reduce variations in the height of the SiNWs. The wet-etching process using the SC1 solution is a suitable candidate to decrease the height variation and also to decrease the complexity in the overall “top-down” fabrication approach.
Furthermore, the diameter or width of the SiNW-FET has a substantial impact on the sensitivity and the sensor-to-sensor variation. The impact of random doping fluctuation on sensor-to-sensor variation is also reduced with a “larger” width of the SiNW. Small SiNW-FETs have high sensitivity but also have the ability for higher sensor-to sensor variation. Depending on applications (target molecules of interest), an optimized nanowires diameters or nanowire width must be decided to meet the required sensitivity and minimal sensor-to-sensor variation. In addition, devices consisting of multiple NWs result in lower sensor-to-sensor variations.
The drain and the source resistances and capacitances, which affect the sensor sensitivity and the frequency response, are one of the factors affecting the sensor-to sensor variation. A minimal difference in the feed line parameters is required for all SiNW-FETs of a sensor array and on the final product. The feed line parameter can be optimized by combing the sensor design parameters and the selection of the feed line materials.
The quality and thickness of the gate oxide on the NWs, as a dielectric, influences various device characteristics. The formation of a gate dielectric based on SiO2 results in a low variation in thickness and thus in a lower variation in gate capacitance. In case a passivation layer using a CVD process is employed, the growth of gate oxide is required after the passivation of the feed lines to reduce thickness variations due to eventually additional oxide growth during CVD processes. However, the unstable nature of SiO2 in aqueous solutions makes it less favorable for stable and highly sensitive biosensors. Therefore, a stack of SiO2 and high-k materials is a promising approach.
To reduce sensor-to-sensor variations in the “top-down” fabrication protocols, reducing the pattern size differences of the nanostructure is required. The line-edge roughness needs to be carefully addressed during the fabrication process. Choosing the right parameters for EBL processes such as the write-field, beam side, beam current, and stage compensation will minimize the size variations SiNW-FET. The LER in EBL processes can be reduced by optimizing the resist thickness and the electron dose. In addition, a precise loading, unloading of the wafer, and self-calibration of the EBL parameter is needed to ensure a minimal variation from wafer to wafer.
NIL has a clear advantage over other fabrication methods as the imprint technique results in less wafer-to-wafer variation, which is of high importance for mass fabrication. During fabrication of the imprint mold, size variations need to be minimized to ensure lower sensor-to-sensor variations. Since EBL processes are involved in the fabrication of imprint molds, aspects such as LER need to be optimized in the EBL process. Thermal treatment can reduce the LER caused by NIL processes.
STL is a low-cost fabrication method for nanoscale devices without the need for expensive tools for nanoscale patterning. However, the homogeneous and conformal deposition of masking materials is a source that caused size variations from device to device. The deposition process and the post-process are quite complex, thus an improvement in the masking layer deposition is needed for large-scale production.
The chemical functionalization of the SiNWs and the bioimmobilization protocol are of major importance when it comes to sensor-to-sensor variations. Uniform deposition of the functional layers leads to a reduced sensor-to-sensor variation. Gas-phase deposition of silanes has shown a reduced thickness variation and an overall lower thickness compared to liquid phase deposition. Furthermore, controlling the receptor density on the SiNW surface and maintaining its biological activity by choosing the right receptor and the immobilization process is crucial to minimize the sensor-to-sensor variation. Gas-phase silanization, using a micro-spotting machine to locally spot the receptor to the SiNW combining with a covalent binding of the receptor to the modified gate oxide surface, would lead to minimal variation.
SiNW-FETs have remarkable electronic properties and offer ultra-high sensitivity to detect biological binding events of target analyte molecules for the next generation of clinical biosensors. Further reduction of the sensor-to-sensor variation in large-scale production will increase the potential of SiNW-FET based biosensors in translational research and boost the likelihood of this technology reaching its full commercial potential at the biomedical diagnostics market.

Author Contributions

M.T., X.T.V. designed the content and the structure of the review; M.T. wrote the draft with the input of all authors; V.P., S.I., and X.T.V. revised the manuscript. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Deutsche Forschungsgemeinschaft (DFG) grant number No. 391107823.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Acknowledgments

The authors thank the DFG for funding the research project “Molecular Programs for neurodegenerative diseases markers Biosensing” (No. 391107823). The authors would like to thank Linda Wetzel for linguistic editing of the manuscript.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Dincer, C.; Bruch, R.; Costa-Rama, E.; Fernandez-Abedul, M.T.; Merkoci, A.; Manz, A.; Urban, G.A.; Guder, F. Disposable Sensors in Diagnostics, Food, and Environmental Monitoring. Adv. Mater. 2019, 31, e1806739. [Google Scholar] [CrossRef]
  2. Li, J.; Kutovyi, Y.; Zadorozhnyi, I.; Boichuk, N.; Vitusevich, S. Monitoring of Dynamic Processes during Detection of Cardiac Biomarkers Using Silicon Nanowire Field-Effect Transistors. Adv. Mater. Interfaces 2020, 7, 2000508. [Google Scholar] [CrossRef]
  3. Vacic, A.; Criscione, J.M.; Stern, E.; Rajan, N.K.; Fahmy, T.; Reed, M.A. Multiplexed SOI BioFETs. Biosens Bioelectron 2011, 28, 239–242. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  4. Zheng, G.; Patolsky, F.; Cui, Y.; Wang, W.U.; Lieber, C.M. Multiplexed electrical detection of cancer markers with nanowire sensor arrays. Nat. Biotechnol. 2005, 23, 1294–1301. [Google Scholar] [CrossRef]
  5. Cui, Y.; Wei, Q.; Park, H.; Lieber, C.M. Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species. Science 2001, 293, 1289–1292. [Google Scholar] [CrossRef] [PubMed]
  6. Rani, D.; Pachauri, V.; Madaboosi, N.; Jolly, P.; Vu, X.T.; Estrela, P.; Chu, V.; Conde, J.P.; Ingebrandt, S. Top-Down Fabricated Silicon Nanowire Arrays for Field-Effect Detection of Prostate-Specific Antigen. ACS Omega 2018, 3, 8471–8482. [Google Scholar] [CrossRef] [Green Version]
  7. Gao, Z.; Agarwal, A.; Trigg, A.D.; Singh, N.; Fang, C.; Tung, C.-H.; Fan, Y.; Buddharaju, K.D.; Kong, J.J.A.C. Silicon nanowire arrays for label-free detection of DNA. Anal. Chem. 2007, 79, 3291–3297. [Google Scholar] [CrossRef]
  8. Schwartz, M.; Nguyen, T.C.; Vu, X.T.; Wagner, P.; Thoelen, R.; Ingebrandt, S. Impedimetric Sensing of DNA with Silicon Nanowire Transistors as Alternative Transducer Principle. Phys. Status Solidi 2018, 215, 1700740. [Google Scholar] [CrossRef]
  9. Eschermann, J.F.; Stockmann, R.; Hueske, M.; Vu, X.T.; Ingebrandt, S.; Offenhäusser, A. Action potentials of HL-1 cells recorded with silicon nanowire transistors. Appl. Phys. Lett. 2009, 95, 083703. [Google Scholar] [CrossRef] [Green Version]
  10. Delacour, C.; Veliev, F.; Crozes, T.; Bres, G.; Minet, J.; Ionica, I.; Ernst, T.; Briançon-Marjollet, A.; Albrieux, M.; Villard, C.J.A.E.M. Neuron-Gated Silicon Nanowire Field Effect Transistors to Follow Single Spike Propagation within Neuronal Network. Adv. Eng. Mater. 2021, 23, 2001226. [Google Scholar] [CrossRef]
  11. Patolsky, F.; Timko, B.P.; Yu, G.; Fang, Y.; Greytak, A.B.; Zheng, G.; Lieber, C.M.J.S. Detection, stimulation, and inhibition of neuronal signals with high-density nanowire transistor arrays. Science 2006, 313, 1100–1104. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  12. Anand, A.; Liu, C.-R.; Chou, A.-C.; Hsu, W.-H.; Ulaganathan, R.K.; Lin, Y.-C.; Dai, C.-A.; Tseng, F.-G.; Pan, C.-Y.; Chen, Y.-T.J.A.S. Detection of K+ efflux from stimulated cortical neurons by an aptamer-modified silicon nanowire field-effect transistor. ACS Sens. 2017, 2, 69–79. [Google Scholar] [CrossRef]
  13. Zafar, S.; D’Emic, C.; Jagtiani, A.; Kratschmer, E.; Miao, X.; Zhu, Y.; Mo, R.; Sosa, N.; Hamann, H.; Shahidi, G. Silicon Nanowire Field Effect Transistor Sensors with Minimal Sensor-to-Sensor Variations and Enhanced Sensing Characteristics. ACS Nano 2018, 12, 6577–6587. [Google Scholar] [CrossRef]
  14. Li, H.; Dauphin-Ducharme, P.; Ortega, G.; Plaxco, K.W. Calibration-Free Electrochemical Biosensors Supporting Accurate Molecular Measurements Directly in Undiluted Whole Blood. J. Am. Chem. Soc. 2017, 139, 11207–11213. [Google Scholar] [CrossRef]
  15. Rani, D.; Pachauri, V.; Ingebrandt, S. Silicon Nanowire Field-Effect Biosensors. In Label-Free Biosensing; Springer: Berlin, Germany, 2018; pp. 27–57. [Google Scholar]
  16. Tran, D.P.; Pham, T.T.T.; Wolfrum, B.; Offenhausser, A.; Thierry, B. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization. Materials 2018, 11, 785. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  17. Namdari, P.; Daraee, H.; Eatemadi, A. Recent Advances in Silicon Nanowire Biosensors: Synthesis Methods, Properties, and Applications. Nanoscale Res. Lett 2016, 11, 406. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  18. Lee, S.; Wang, N.; Zhang, Y.; Tang, Y.J.M.B. Oxide-assisted semiconductor nanowire growth. Mrs Bull. 1999, 24, 36–42. [Google Scholar] [CrossRef]
  19. Patolsky, F.; Zheng, G.; Lieber, C.M. Fabrication of silicon nanowire devices for ultrasensitive, label-free, real-time detection of biological and chemical species. Nat. Protoc. 2006, 1, 1711–1724. [Google Scholar] [CrossRef] [PubMed]
  20. Kim, A.; Ah, C.S.; Yu, H.Y.; Yang, J.-H.; Baek, I.-B.; Ahn, C.-G.; Park, C.W.; Jun, M.S.; Lee, S.J.A.P.L. Ultrasensitive, label-free, and real-time immunodetection using silicon field-effect transistors. Appl. Phys. Lett. 2007, 91, 103901. [Google Scholar] [CrossRef]
  21. Gao, A.; Lu, N.; Dai, P.; Li, T.; Pei, H.; Gao, X.; Gong, Y.; Wang, Y.; Fan, C. Silicon-nanowire-based CMOS-compatible field-effect transistor nanosensors for ultrasensitive electrical detection of nucleic acids. Nano Lett. 2011, 11, 3974–3978. [Google Scholar] [CrossRef]
  22. Vu, X.T.; Eschermann, J.F.; Stockmann, R.; GhoshMoulick, R.; Offenhäusser, A.; Ingebrandt, S. Top-down processed silicon nanowire transistor arrays for biosensing. Phys. Status Solidi 2009, 206, 426–434. [Google Scholar] [CrossRef]
  23. Jayakumar, G.; Garidis, K.; Hellström, P.-E.; Östling, M. Fabrication and characterization of silicon nanowires using STL for biosensing applications. In Proceedings of the 15th International Conference on Ultimate Integration on Silicon (ULIS), Stockholm, Sweden, 7–9 April 2014; pp. 109–112. [Google Scholar]
  24. Park, I.; Li, Z.; Pisano, A.P.; Williams, R.S. Top-down fabricated silicon nanowire sensors for real-time chemical detection. Nanotechnology 2010, 21, 015501. [Google Scholar] [CrossRef] [Green Version]
  25. Vu, X.; GhoshMoulick, R.; Eschermann, J.; Stockmann, R.; Offenhäusser, A.; Ingebrandt, S. Fabrication and application of silicon nanowire transistor arrays for biomolecular detection. Sens. Actuators B Chem. 2010, 144, 354–360. [Google Scholar] [CrossRef]
  26. Müller, A.; Vu, X.T.; Pachauri, V.; Francis, L.A.; Flandre, D.; Ingebrandt, S. Wafer-Scale Nanoimprint Lithography Process Towards Complementary Silicon Nanowire Field-Effect Transistors for Biosensor Applications. Phys. Status Solidi 2018, 215, 1800234. [Google Scholar] [CrossRef]
  27. Rani, D.; Pachauri, V.; Mueller, A.; Vu, X.T.; Nguyen, T.C.; Ingebrandt, S. On the Use of Scalable NanoISFET Arrays of Silicon with Highly Reproducible Sensor Performance for Biosensor Applications. ACS Omega 2016, 1, 84–92. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  28. Rani, D.; Singh, Y.; Salker, M.; Vu, X.T.; Ingebrandt, S.; Pachauri, V. Point-of-care-ready nanoscale ISFET arrays for sub-picomolar detection of cytokines in cell cultures. Anal. Bioanal. Chem. 2020, 1–12. [Google Scholar] [CrossRef]
  29. Vu, X.T.; Stockmann, R.; Wolfrum, B.; Offenhäusser, A.; Ingebrandt, S. Fabrication and application of a microfluidic-embedded silicon nanowire biosensor chip. Phys. Status Solidi 2010, 207, 850–857. [Google Scholar] [CrossRef]
  30. Stern, E.; Klemic, J.F.; Routenberg, D.A.; Wyrembak, P.N.; Turner-Evans, D.B.; Hamilton, A.D.; LaVan, D.A.; Fahmy, T.M.; Reed, M.A. Label-free immunodetection with CMOS-compatible semiconducting nanowires. Nature 2007, 445, 519–522. [Google Scholar] [CrossRef]
  31. Smith, R.; Geary, S.M.; Salem, A.K. Silicon Nanowires and Their Impact on Cancer Detection and Monitoring. ACS Appl. Nano Mater. 2020, 3, 8522–8536. [Google Scholar] [CrossRef]
  32. Doucey, M.-A.; Carrara, S. Nanowire sensors in cancer. Trends Biotechnol. 2019, 37, 86–99. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  33. Pachauri, V.; Ingebrandt, S. Biologically sensitive field-effect transistors: From ISFETs to NanoFETs. Essays Biochem. 2016, 60, 81–90. [Google Scholar] [CrossRef]
  34. Tian, B.; Lieber, C.M. Nanowired Bioelectric Interfaces. Chem. Rev. 2019, 119, 9136–9152. [Google Scholar] [CrossRef] [PubMed]
  35. Kaisti, M. Detection principles of biological and chemical FET sensors. Biosens. Bioelectron. 2017, 98, 437–448. [Google Scholar] [CrossRef]
  36. Noor, M.O.; Krull, U.J. Silicon nanowires as field-effect transducers for biosensor development: A review. Anal. Chim. Acta 2014, 825, 1–25. [Google Scholar] [CrossRef]
  37. Zhang, G.J.; Ning, Y. Silicon nanowire biosensor and its applications in disease diagnostics: A review. Anal. Chim. Acta 2012, 749, 1–15. [Google Scholar] [CrossRef]
  38. Baraban, L.; Ibarlucea, B.; Baek, E.; Cuniberti, G. Hybrid Silicon Nanowire Devices and Their Functional Diversity. Adv. Sci. 2019, 6, 1900522. [Google Scholar] [CrossRef] [PubMed]
  39. Leonard, F.; Talin, A.A. Electrical contacts to one- and two-dimensional nanomaterials. Nat. Nanotechnol. 2011, 6, 773–783. [Google Scholar] [CrossRef] [PubMed]
  40. Livi, P.; Shadmani, A.; Wipf, M.; Stoop, R.L.; Rothe, J.; Chen, Y.; Calame, M.; Schönenberger, C.; Hierlemann, A. Sensor system including silicon nanowire ion sensitive FET arrays and CMOS readout. Sens. Actuators B Chem. 2014, 204, 568–577. [Google Scholar] [CrossRef]
  41. Tran, D.P.; Winter, M.; Yang, C.T.; Stockmann, R.; Offenhausser, A.; Thierry, B. Silicon Nanowires Field Effect Transistors: A Comparative Sensing Performance between Electrical Impedance and Potentiometric Measurement Paradigms. Anal. Chem. 2019, 91, 12568–12573. [Google Scholar] [CrossRef]
  42. Duan, X.; Li, Y.; Rajan, N.K.; Routenberg, D.A.; Modis, Y.; Reed, M.A. Quantification of the affinities and kinetics of protein interactions using silicon nanowire biosensors. Nat. Nanotechnol. 2012, 7, 401–407. [Google Scholar] [CrossRef]
  43. Bergveld, P. The operation of an ISFET as an electronic device. Sens. Actuators 1981, 1, 17–29. [Google Scholar] [CrossRef] [Green Version]
  44. Han, Y.; Offenhäusser, A.; Ingebrandt, S. Detection of DNA hybridization by a field-effect transistor with covalently attached catcher molecules. Surf. Interface Anal. 2006, 38, 176–181. [Google Scholar] [CrossRef]
  45. Gao, X.P.; Zheng, G.; Lieber, C.M. Subthreshold regime has the optimal sensitivity for nanowire FET biosensors. Nano Lett. 2010, 10, 547–552. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  46. Nguyen, T.C.; Vu, X.T.; Freyler, M.; Ingebrandt, S. PSPICE model for silicon nanowire field-effect transistor biosensors in impedimetric measurement mode. Phys. Status Solidi 2013, 210, 870–876. [Google Scholar] [CrossRef]
  47. Bhattacharjee, A.; Nguyen, T.C.; Pachauri, V.; Ingebrandt, S.; Vu, X.T.J.M. Comprehensive Understanding of Silicon-Nanowire Field-Effect Transistor Impedimetric Readout for Biomolecular Sensing. Micromachines 2021, 12, 39. [Google Scholar] [CrossRef]
  48. Nair, P.R.; Alam, M.A. Design Considerations of Silicon Nanowire Biosensors. IEEE Trans. Electron. Devices 2007, 54, 3400–3408. [Google Scholar] [CrossRef]
  49. Park, C.W.; Ahn, C.G.; Yang, J.H.; Baek, I.B.; Ah, C.S.; Kim, A.; Kim, T.Y.; Sung, G.Y. Control of channel doping concentration for enhancing the sensitivity of ‘top-down’ fabricated Si nanochannel FET biosensors. Nanotechnology 2009, 20, 475501. [Google Scholar] [CrossRef]
  50. Bae, T.-E.; Jang, H.-J.; Yang, J.-H.; Cho, W.-J. High Performance of Silicon Nanowire-Based Biosensors using a High-k Stacked Sensing Thin Film. ACS Appl. Mater. Interfaces 2013, 5, 5214–5218. [Google Scholar] [CrossRef]
  51. Choi, S.; Park, I.; Hao, Z.; Holman, H.-Y.N.; Pisano, A.P. Quantitative studies of long-term stable, top-down fabricated silicon nanowire pH sensors. Appl. Phys. A 2012, 107, 421–428. [Google Scholar] [CrossRef]
  52. Tang, X.; Reckinger, N.; Larrieu, G.; Dubois, E.; Flandre, D.; Raskin, J.P.; Nysten, B.; Jonas, A.M.; Bayot, V. Characterization of ultrathin SOI film and application to short channel MOSFETs. Nanotechnology 2008, 19, 165703. [Google Scholar] [CrossRef] [Green Version]
  53. Saha, S.K. Modeling process variability in scaled CMOS technology. IEEE Des. Test. Comput. 2010, 27, 8–16. [Google Scholar] [CrossRef]
  54. Regonda, S.; Tian, R.; Gao, J.; Greene, S.; Ding, J.; Hu, W. Silicon multi-nanochannel FETs to improve device uniformity/stability and femtomolar detection of insulin in serum. Biosens. Bioelectron. 2013, 45, 245–251. [Google Scholar] [CrossRef] [PubMed]
  55. Rajan, N.K.; Routenberg, D.A.; Jin, C.; Reed, M.A. 1/f Noise of Silicon Nanowire BioFETs. IEEE Electron. Device Lett. 2010, 31, 615–617. [Google Scholar] [CrossRef]
  56. Moh, T.S.Y.; Pandraud, G.; Sarro, P.M.; Huang, Q.A.; Sudhölter, E.J.R.; Nie, M.; de Smet, L.C.P.M. Effect of silicon nanowire etching on signal-to-noise ratio of SiNW FETs for (bio)sensor applications. Electron. Lett. 2013, 49, 782–784. [Google Scholar] [CrossRef]
  57. Schnakenberg, U.; Benecke, W.; Lange, P. TMAHW etchants for silicon micromachining. In Proceedings of the TRANSDUCERS’91: International Conference on Solid-State Sensors and Actuators, Digest of Technical Papers, San Francisco, CA, USA, 24–27 June 1991; pp. 815–818. [Google Scholar]
  58. Cui, Y.; Zhong, Z.; Wang, D.; Wang, W.U.; Lieber, C.M. High Performance Silicon Nanowire Field Effect Transistors. Nano Lett. 2003, 3, 149–152. [Google Scholar] [CrossRef]
  59. Kutovyi, Y.; Zadorozhnyi, I.; Hlukhova, H.; Handziuk, V.; Petrychuk, M.; Ivanchuk, A.; Vitusevich, S.J.N. Origin of noise in liquid-gated Si nanowire troponin biosensors. Nanotechnology 2018, 29, 175202. [Google Scholar] [CrossRef]
  60. Susloparova, A.; Vu, X.T.; Koppenhöfer, D.; Law, J.K.-Y.; Ingebrandt, S. Investigation of ISFET device parameters to optimize for impedimetric sensing of cellular adhesion. Phys. Status Solidi 2014, 211, 1395–1403. [Google Scholar] [CrossRef]
  61. Motayed, A.; Bonevich, J.E.; Krylyuk, S.; Davydov, A.V.; Aluri, G.; Rao, M.V. Correlation between the performance and microstructure of Ti/Al/Ti/Au Ohmic contacts to p-type silicon nanowires. Nanotechnology 2011, 22, 075206. [Google Scholar] [CrossRef] [Green Version]
  62. Lin, Y.C.; Chen, Y.; Xu, D.; Huang, Y. Growth of nickel silicides in Si and Si/SiOx core/shell nanowires. Nano Lett. 2010, 10, 4721–4726. [Google Scholar] [CrossRef]
  63. Sze, S.M. Semiconductor Devices: Physics and Technology; John Wiley & Sons: Hoboken, NJ, USA, 2008. [Google Scholar]
  64. Zhou, W.; Dai, X.; Fu, T.M.; Xie, C.; Liu, J.; Lieber, C.M. Long term stability of nanowire nanoelectronics in physiological environments. Nano Lett. 2014, 14, 1614–1619. [Google Scholar] [CrossRef] [Green Version]
  65. Kim, S.; Kwon, D.W.; Lee, R.; Kim, D.H.; Park, B.-G. Investigation of drift effect on silicon nanowire field effect transistor based pH sensor. Jpn. J. Appl. Phys. 2016, 55. [Google Scholar] [CrossRef]
  66. Rigante, S.; Scarbolo, P.; Wipf, M.; Stoop, R.L.; Bedner, K.; Buitrago, E.; Bazigos, A.; Bouvet, D.; Calame, M.; Schonenberger, C.; et al. Sensing with Advanced Computing Technology: Fin Field-Effect Transistors with High-k Gate Stack on Bulk Silicon. ACS Nano 2015, 9, 4872–4881. [Google Scholar] [CrossRef]
  67. Robertson, J. Interfaces and defects of high-K oxides on silicon. Solid State Electron. 2005, 49, 283–293. [Google Scholar] [CrossRef]
  68. Zafar, S.; Callegari, A.; Gusev, E.; Fischetti, M.V. Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks. J. Appl. Phys. 2003, 93, 9298–9303. [Google Scholar] [CrossRef]
  69. Zafar, S.; Kumar, A.; Gusev, E.; Cartier, E. Threshold voltage instabilities in high-/spl kappa/ gate dielectric stacks. IEEE Trans. Device Mater. Reliab. 2005, 5, 45–64. [Google Scholar] [CrossRef]
  70. Guillorn, M.; Chang, J.; Fuller, N.; Patel, J.; Darnon, M.; Pyzyna, A.; Joseph, E.; Engelmann, S.; Ott, J.; Newbury, J.; et al. Hydrogen silsesquioxane-based hybrid electron beam and optical lithography for high density circuit prototyping. J. Vac. Sci. Technol. B 2009, 27, 2588–2592. [Google Scholar] [CrossRef]
  71. Drennan, P.G.; McAndrew, C.C. Understanding MOSFET mismatch for analog design. IEEE J. Solid-State Circuits 2003, 38, 450–456. [Google Scholar] [CrossRef]
  72. Rajan, N.K.; Routenberg, D.A.; Reed, M.A. Optimal signal-to-noise ratio for silicon nanowire biochemical sensors. Appl. Phys. Lett. 2011, 98, 264107–2641073. [Google Scholar] [CrossRef] [Green Version]
  73. Lee, J.; Jang, J.; Choi, B.; Yoon, J.; Kim, J.Y.; Choi, Y.K.; Kim, D.M.; Kim, D.H.; Choi, S.J. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor. Sci. Rep. 2015, 5, 12286. [Google Scholar] [CrossRef] [Green Version]
  74. Jayakumar, G.; Legallais, M.; Hellstrom, P.E.; Mouis, M.; Pignot-Paintrand, I.; Stambouli, V.; Ternon, C.; Ostling, M. Wafer-scale HfO2 encapsulated silicon nanowire field effect transistor for efficient label-free DNA hybridization detection in dry environment. Nanotechnology 2019, 30, 184002. [Google Scholar] [CrossRef]
  75. Lim, C.M.; Lee, I.K.; Lee, K.J.; Oh, Y.K.; Shin, Y.B.; Cho, W.J. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography. Sci. Technol. Adv. Mater. 2017, 18, 17–25. [Google Scholar] [CrossRef] [Green Version]
  76. Yu, Z.; Chen, L.; Wu, W.; Ge, H.; Chou, S.Y. Fabrication of nanoscale gratings with reduced line edge roughness using nanoimprint lithography. J. Vac. Sci. Technol. B 2003, 21. [Google Scholar] [CrossRef] [Green Version]
  77. Sreenivasan, S.V. Nanoimprint lithography steppers for volume fabrication of leading-edge semiconductor integrated circuits. Microsyst. Nanoeng. 2017, 3, 17075. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  78. Li, B.R.; Chen, C.W.; Yang, W.L.; Lin, T.Y.; Pan, C.Y.; Chen, Y.T. Biomolecular recognition with a sensitivity-enhanced nanowire transistor biosensor. Biosens. Bioelectron. 2013, 45, 252–259. [Google Scholar] [CrossRef] [PubMed]
  79. Han, Y.; Mayer, D.; Offenhäusser, A.; Ingebrandt, S. Surface activation of thin silicon oxides by wet cleaning and silanization. Thin Solid Film. 2006, 510, 175–180. [Google Scholar] [CrossRef]
  80. Munief, W.M.; Heib, F.; Hempel, F.; Lu, X.L.; Schwartz, M.; Pachauri, V.; Hempelmann, R.; Schmitt, M.; Ingebrandt, S. Silane Deposition via Gas-Phase Evaporation and High-Resolution Surface Characterization of the Ultrathin Siloxane Coatings. Langmuir 2018, 34, 10217–10229. [Google Scholar] [CrossRef]
  81. Uslu, F.; Ingebrandt, S.; Mayer, D.; Bocker-Meffert, S.; Odenthal, M.; Offenhausser, A. Labelfree fully electronic nucleic acid detection system based on a field-effect transistor device. Biosens. Bioelectron. 2004, 19, 1723–1731. [Google Scholar] [CrossRef] [PubMed]
  82. Park, I.; Li, Z.; Pisano, A.P.; Williams, R.S. Selective surface functionalization of silicon nanowires via nanoscale Joule heating. Nano Lett. 2007, 7, 3106–3111. [Google Scholar] [CrossRef]
  83. Rani, D. Label-free Detection of Biomolecules Using Silicon Nanowire Ion-sensitive Field-effect Transistor Devices. Ph.D. Thesis, Justus-Liebig-Universität Gießen, Giessen, Germany, 2017. [Google Scholar]
  84. Reimhult, E.; Höök, F.J.S. Design of surface modifications for nanoscale sensor applications. Sensors 2015, 15, 1635–1675. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  85. Kalia, J.; Raines, R.T.J. Advances in bioconjugation. Curr. Org. Chem. 2010, 14, 138–147. [Google Scholar] [CrossRef] [Green Version]
  86. Knopp, D.; Tang, D.; Niessner, R.J.A. Bioanalytical applications of biomolecule-functionalized nanometer-sized doped silica particles. Anal. Chim. Acta 2009, 647, 14–30. [Google Scholar] [CrossRef]
  87. Camarero, J.A.J. Recent developments in the site-specific immobilization of proteins onto solid supports. Pept. Sci. 2008, 90, 450–458. [Google Scholar] [CrossRef]
  88. Tzouvadaki, I.; Zapatero-Rodríguez, J.; Naus, S.; de Micheli, G.; O’Kennedy, R.; Carrara, S.J.S.; Chemical, A.B. Memristive biosensors based on full-size antibodies and antibody fragments. Sens. Actuators B 2019, 286, 346–352. [Google Scholar] [CrossRef]
  89. Ingebrandt, S.J.N. Sensing beyond the Limit. Nat. Nanotechnol. 2015, 10, 734–735. [Google Scholar] [CrossRef]
  90. Lu, B.; Smyth, M.R.; O’Kennedy, R.J.A. Tutorial review. Oriented immobilization of antibodies and its applications in immunoassays and immunosensors. Analyst 1996, 121, 29R–32R. [Google Scholar] [CrossRef]
  91. Linshiz, G.; Jensen, E.; Stawski, N.; Bi, C.; Elsbree, N.; Jiao, H.; Kim, J.; Mathies, R.; Keasling, J.D.; Hillson, N.J. End-to-end automated microfluidic platform for synthetic biology: From design to functional analysis. J. Biol. Eng. 2016, 10, 1–15. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  92. Huang, I.Y.; Huang, R.S. Fabrication and characterization of a new planar solid-state reference electrode for ISFET sensors. Thin Solid Film. 2002, 406, 255–261. [Google Scholar] [CrossRef]
  93. Shinwari, M.W.; Zhitomirsky, D.; Deen, I.A.; Selvaganapathy, P.R.; Deen, M.J.; Landheer, D. Microfabricated Reference Electrodes and their Biosensing Applications. Sensors 2010, 10, 1679–1715. [Google Scholar] [CrossRef] [PubMed]
  94. Yang, H.; Kang, S.K.; Choi, C.A.; Kim, H.; Shin, D.-H.; Kim, Y.S.; Kim, Y.T. An iridium oxide reference electrode for use in microfabricated biosensors and biochips. Lab Chip 2004, 4, 42–46. [Google Scholar] [CrossRef] [PubMed]
  95. Lewenstam, A. Handbook of Reference Electrodes; György, I., Andrzej, L., Fritz, S., Eds.; Springer: Berlin, Germany, 2013; pp. 1–344. ISBN 978-3-642-36187-6. [Google Scholar]
  96. Duarte-Guevara, C.; Swaminathan, V.V.; Burgess, M.; Reddy, B.; Salm, E.; Liu, Y.S.; Rodriguez-Lopez, J.; Bashir, R. On-chip metal/polypyrrole quasi-reference electrodes for robust ISFET operation. Analyst 2015, 140, 3630–3641. [Google Scholar] [CrossRef] [PubMed]
  97. Kremers, T.; Tintelott, M.; Pachauri, V.; Vu, X.T.; Ingebrandt, S.; Schnakenberg, U. Microelectrode Combinations of Gold and Polypyrrole Enable Highly Stable Two-Electrode Electrochemical Impedance Spectroscopy Measurements Under Turbulent Flow Conditions. Electroanalysis 2020, 33, 197–207. [Google Scholar] [CrossRef]
Figure 1. Schematic overview of different applications of SiNW-FETs. The inner ring shows a schematic illustration of a SiNW-FET and a sensing setup. The outer ring illustrates different applications of SiNW-FETs.
Figure 1. Schematic overview of different applications of SiNW-FETs. The inner ring shows a schematic illustration of a SiNW-FET and a sensing setup. The outer ring illustrates different applications of SiNW-FETs.
Sensors 21 05153 g001
Figure 2. (a) Schematic illustration of an electrical biosensor: The analyte of interest (1) interacts with the specific receptor layer (2), which will be recognized by the biofunctional layer (3). The transducer (4) alters its electrical characteristic, which is read by the electronic system (5). (b) Schematic setup of a biosensor based on SiNW-FETs. (c) scanning electron microscopy (SEM) image of a SiNW and its contacts in the micrometer regime. [Reprinted with permission from [8]. Copyright (2018), Wiley]. (d) Encapsulated SiNW chip with microfluidic structures. [Reprinted with permission from [40]. Copyright (2014), Elsevier]. (e) Dose-response curve of a SiNW-FET to detect PSA using PSA-specific aptamers. [Reprinted with permission from [6]]. (f) Variations of the gm value before and after optimizing the fabrication process to reduce the sensor-to-sensor variations. [Reprinted with permission from [13]. Copyright (2018) American Chemical Society].
Figure 2. (a) Schematic illustration of an electrical biosensor: The analyte of interest (1) interacts with the specific receptor layer (2), which will be recognized by the biofunctional layer (3). The transducer (4) alters its electrical characteristic, which is read by the electronic system (5). (b) Schematic setup of a biosensor based on SiNW-FETs. (c) scanning electron microscopy (SEM) image of a SiNW and its contacts in the micrometer regime. [Reprinted with permission from [8]. Copyright (2018), Wiley]. (d) Encapsulated SiNW chip with microfluidic structures. [Reprinted with permission from [40]. Copyright (2014), Elsevier]. (e) Dose-response curve of a SiNW-FET to detect PSA using PSA-specific aptamers. [Reprinted with permission from [6]]. (f) Variations of the gm value before and after optimizing the fabrication process to reduce the sensor-to-sensor variations. [Reprinted with permission from [13]. Copyright (2018) American Chemical Society].
Sensors 21 05153 g002
Figure 3. The simulation result shows the dependency of the threshold voltage ( V t h ) on the nanowire width. [Reprinted with permission from [13]. Copyright (2018) American Chemical Society].
Figure 3. The simulation result shows the dependency of the threshold voltage ( V t h ) on the nanowire width. [Reprinted with permission from [13]. Copyright (2018) American Chemical Society].
Sensors 21 05153 g003
Figure 4. SEM images of wet etched (a) [Reprinted with permission from [22]. Copyright (2009), Wiley] and dry etched (b) [Reprinted with permission from [13]. Copyright (2018) American Chemical Society] SiNWs. The wet etched SiNW has a trapezoid structure due to sidewalls with (111) orientation compared to the dry-etched having vertical sidewalls with a (110) orientation.
Figure 4. SEM images of wet etched (a) [Reprinted with permission from [22]. Copyright (2009), Wiley] and dry etched (b) [Reprinted with permission from [13]. Copyright (2018) American Chemical Society] SiNWs. The wet etched SiNW has a trapezoid structure due to sidewalls with (111) orientation compared to the dry-etched having vertical sidewalls with a (110) orientation.
Sensors 21 05153 g004
Figure 5. Illustration of two possible methods to form ohmic feed line contacts. Formation of ohmic contacts close to the NW (a) [Reprinted with permission from [2]] and formation of ohmic contacts on top of silicon feed lines (b) [Reprinted with permission from [27]]. Electrical readout configuration for DC readout of liquid gated FETs (c). Schematic illustration of the impact of drain and source feed line resistance RD and RS on the resulting drain current Id (d).
Figure 5. Illustration of two possible methods to form ohmic feed line contacts. Formation of ohmic contacts close to the NW (a) [Reprinted with permission from [2]] and formation of ohmic contacts on top of silicon feed lines (b) [Reprinted with permission from [27]]. Electrical readout configuration for DC readout of liquid gated FETs (c). Schematic illustration of the impact of drain and source feed line resistance RD and RS on the resulting drain current Id (d).
Sensors 21 05153 g005
Figure 6. Schematic view of the electrical equivalent circuit of the SiNW FET in AC-mode. Variation in drain and source capacitance will lead to variations in the output signal. [Reprinted with permission from [8]. Copyright (2018), Wiley].
Figure 6. Schematic view of the electrical equivalent circuit of the SiNW FET in AC-mode. Variation in drain and source capacitance will lead to variations in the output signal. [Reprinted with permission from [8]. Copyright (2018), Wiley].
Sensors 21 05153 g006
Figure 7. Illustration of uniform and varying thicknesses of the gate dielectric (a) and simulation results of how the varying thickness influences the subthreshold slope (b). SEM images of varying and uniform gate oxide thickness (c,d). [Reprinted with permission from [13]. Copyright (2018) American Chemical Society].
Figure 7. Illustration of uniform and varying thicknesses of the gate dielectric (a) and simulation results of how the varying thickness influences the subthreshold slope (b). SEM images of varying and uniform gate oxide thickness (c,d). [Reprinted with permission from [13]. Copyright (2018) American Chemical Society].
Sensors 21 05153 g007
Figure 8. Schematic process flow to fabricate SiNW-FETs using EBL (top). [Reprinted with permission from [31]. Copyright (2020), American Chemical Society]. SEM image of top-down fabricated SiNW-FETs using EBL (bottom). [Reprinted with permission from [72]. Copyright (2011), AIP].
Figure 8. Schematic process flow to fabricate SiNW-FETs using EBL (top). [Reprinted with permission from [31]. Copyright (2020), American Chemical Society]. SEM image of top-down fabricated SiNW-FETs using EBL (bottom). [Reprinted with permission from [72]. Copyright (2011), AIP].
Sensors 21 05153 g008
Figure 9. Process flow of top-down fabrication of SiNWs using STL (top): SOI is used as a starting material (a). Deposition of a tri-layer stack of SiO2, amorphous silicon (a-Si), and silicon nitride (SiN) (b). Selective etching of a-Si using SiN as a hard mask (c). Deposition of a SiN spacer (d). Etching of a-Si using TMAH (e). Removal of the spacers (f). Patterning of drain/source contacts and SiNW (g). Formation of a gate oxide using thermal oxidation of silicon and subsequent HfO2 ALD deposition (h). Ion-implantation to form conductive drain and source regions (i). Formation of nickel silicide (NiSi) ohmic contacts (j). Passivation of feed lines and contact metallization (k). Opening of the gate area (l). [Reprinted with permission from [74]]. SEM picture of the resulting device (bottom). [Reprinted with permission from [74]].
Figure 9. Process flow of top-down fabrication of SiNWs using STL (top): SOI is used as a starting material (a). Deposition of a tri-layer stack of SiO2, amorphous silicon (a-Si), and silicon nitride (SiN) (b). Selective etching of a-Si using SiN as a hard mask (c). Deposition of a SiN spacer (d). Etching of a-Si using TMAH (e). Removal of the spacers (f). Patterning of drain/source contacts and SiNW (g). Formation of a gate oxide using thermal oxidation of silicon and subsequent HfO2 ALD deposition (h). Ion-implantation to form conductive drain and source regions (i). Formation of nickel silicide (NiSi) ohmic contacts (j). Passivation of feed lines and contact metallization (k). Opening of the gate area (l). [Reprinted with permission from [74]]. SEM picture of the resulting device (bottom). [Reprinted with permission from [74]].
Sensors 21 05153 g009
Figure 10. Schematic illustration of the process flow for fabrication of SiNW FETs using NIL (left) [Reprinted with permission from [22]. Copyright (2009), Wiley]. SEM images of wet etched SiNW fabricated using NIL (right) [Reprinted with permission from [29]. Copyright (2010), Wiley, and reprinted with permission from [27]].
Figure 10. Schematic illustration of the process flow for fabrication of SiNW FETs using NIL (left) [Reprinted with permission from [22]. Copyright (2009), Wiley]. SEM images of wet etched SiNW fabricated using NIL (right) [Reprinted with permission from [29]. Copyright (2010), Wiley, and reprinted with permission from [27]].
Sensors 21 05153 g010
Figure 11. Visualization of AAM and SSM modification of SiNW-FETs (a) [Reprinted with permission from [78]. Copyright (2013), Elsevier]. Schematic illustration of a single NW functionalization using a protective polymer layer (b). [Reprinted with permission from [82]. Copyright (2007), American Chemical Society]. Comparison of the signal response of AAM and SSM modified SiNW-FETs (c) [Reprinted with permission from [78]. Copyright (2013), Elsevier]. Micro spotting technique for localized surface modification (d). [Reprinted with permission from [6]].
Figure 11. Visualization of AAM and SSM modification of SiNW-FETs (a) [Reprinted with permission from [78]. Copyright (2013), Elsevier]. Schematic illustration of a single NW functionalization using a protective polymer layer (b). [Reprinted with permission from [82]. Copyright (2007), American Chemical Society]. Comparison of the signal response of AAM and SSM modified SiNW-FETs (c) [Reprinted with permission from [78]. Copyright (2013), Elsevier]. Micro spotting technique for localized surface modification (d). [Reprinted with permission from [6]].
Sensors 21 05153 g011
Figure 12. Schematic illustration of a microfluidic well and different positions of the reference electrode (a). [Reprinted with permission from [27]] Experimental setups for SiNW-FETs using PDMS-based microfluidic channels (b) [Reprinted with permission from [29]. Copyright (2010), Wiley] and (d) [Reprinted with permission from [2]]. Threshold voltage dependency on the position of the reference electrode (c). [Reprinted with permission from [27]].
Figure 12. Schematic illustration of a microfluidic well and different positions of the reference electrode (a). [Reprinted with permission from [27]] Experimental setups for SiNW-FETs using PDMS-based microfluidic channels (b) [Reprinted with permission from [29]. Copyright (2010), Wiley] and (d) [Reprinted with permission from [2]]. Threshold voltage dependency on the position of the reference electrode (c). [Reprinted with permission from [27]].
Sensors 21 05153 g012
Table 1. Comparison of device characteristics of SiNW-FETs fabricated by different etching processes. The low-frequency noise is proportional to Hooge constant.
Table 1. Comparison of device characteristics of SiNW-FETs fabricated by different etching processes. The low-frequency noise is proportional to Hooge constant.
Etching ProcessHooge Constant αHSubthreshold SwingReference
TMAH0.00211.0 V/decade[55]
Cl2 (ICP)0.0152.6 V/decade[55]
CF4 (RIE)0.0173.0 V/decade[55]
Table 2. Overview of different processes to form ohmic feed line contacts.
Table 2. Overview of different processes to form ohmic feed line contacts.
ApproachDoping Process ParametersDoping ConcentrationMetalReferences
Ion implantation and silicide formation(B) 2.5 keV, 4 × 1015 ions/cm2~8 × 1019 atoms/cm−3NiPt (10% Pt)/TiN[13]
Ion implantation and Al contacts(B) 7 keV, 1 × 1014 ions/cm2N/AAl/Ti/Au[22]
Ion implantation and Ti/Al contacts(BF2+) 8 keV, 5 × 1015 ions/cm2N/ATi/Al[3]
Table 3. An overview of the performance of different combinations of gate dielectrics. Data adapted from [50].
Table 3. An overview of the performance of different combinations of gate dielectrics. Data adapted from [50].
Gate MaterialpH Sensitivity
(mV/pH)
Drift Rate
(mV/h)
Hysteresis
(mV)
SiO238.745.24173
SiO2/Si3N449.73.8620.9
SiO2/HfO255.31.886.9
SiO2/Ta2O552.60.6113.9
SiO2/ZrO253.90.4422.1
SiO2/Al2O353.10.250.6
Table 4. Overview of SiNW-based biosensors fabricated in different EBL processes. Note that the fabrication process described in Ref. [3] does not include EBL.
Table 4. Overview of SiNW-based biosensors fabricated in different EBL processes. Note that the fabrication process described in Ref. [3] does not include EBL.
Fabrication ApproachNW Size in
Width and Length
Vth and Its
Variation
CMOS
Integration
References
Top-down fabrication on SOI wafer, EBL process using HSQ combined with optical lithography30 nm, 5 µm0.28 ± 0.028 VNo[13]
Top-down fabrication on SOI wafer, EBL process using HSQ combined with optical lithography50 nm, 20 µm1.15 ± 0.16 VNo[54]
Top-down fabrication on SOI wafer, EBL process using HSQ combined with optical lithography55 nm, N/AN/AYes[73]
Top-down fabrication on SOI wafer, optical lithographyNanoribbon−2.3 ± 0.15 VNo[3]
Table 5. Comparison of Si NWs-based biosensors fabricated with NIL processes.
Table 5. Comparison of Si NWs-based biosensors fabricated with NIL processes.
Fabrication ApproachNW Size
in Width and Length
Vth and Its
Variation
CMOS
Integration
References
Top-down fabrication on SOI wafer, NIL125 nm × 15 µm0.384 ± 0.106 VNo[27]
Top-down fabrication on SOI wafer, NIL100 nm × 7 µm0.65 ± 0.3 VNo[26]
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Tintelott, M.; Pachauri, V.; Ingebrandt, S.; Vu, X.T. Process Variability in Top-Down Fabrication of Silicon Nanowire-Based Biosensor Arrays. Sensors 2021, 21, 5153. https://doi.org/10.3390/s21155153

AMA Style

Tintelott M, Pachauri V, Ingebrandt S, Vu XT. Process Variability in Top-Down Fabrication of Silicon Nanowire-Based Biosensor Arrays. Sensors. 2021; 21(15):5153. https://doi.org/10.3390/s21155153

Chicago/Turabian Style

Tintelott, Marcel, Vivek Pachauri, Sven Ingebrandt, and Xuan Thang Vu. 2021. "Process Variability in Top-Down Fabrication of Silicon Nanowire-Based Biosensor Arrays" Sensors 21, no. 15: 5153. https://doi.org/10.3390/s21155153

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop