Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

Article Types

Countries / Regions

Search Results (20)

Search Parameters:
Keywords = nanosheet (NS) FET

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
10 pages, 1608 KiB  
Article
A Reflection-Based Ultra-Fast Measurement Method for the Continuous Characterization of Self-Heating for Advanced MOSFETs
by Wei Liu, Guoqixin Huang, Yaru Ding, Chu Yan, Xinwei Yu, Liang Zhao and Yi Zhao
Electronics 2025, 14(13), 2634; https://doi.org/10.3390/electronics14132634 - 30 Jun 2025
Viewed by 262
Abstract
As semiconductor devices approach the sub-10 nm technology node, the self-heating effect (SHE) induced by confined geometries (e.g., FinFETs and nanosheet FETs) has emerged as a critical bottleneck affecting both performance and reliability. This challenge has prompted extensive research efforts to develop advanced [...] Read more.
As semiconductor devices approach the sub-10 nm technology node, the self-heating effect (SHE) induced by confined geometries (e.g., FinFETs and nanosheet FETs) has emerged as a critical bottleneck affecting both performance and reliability. This challenge has prompted extensive research efforts to develop advanced characterization methodologies to investigate this effect and its corresponding influence on the device’s reliability issues. In this paper, we propose reflection-based ultra-fast measurement techniques for the continuous monitoring of the self-heating effect in advanced MOSFETs. With this approach, the self-heating effect-induced degradation of transistor drain current and the real-time temperature change can be continuously captured using a digital phosphor oscilloscope on a nanosecond scale. The thermal time constant of 17 ns and the thermal resistance of 34,000 K/W have been extracted for the short channel transistors used in this study with the help of this new characterization method. This reflection-based method is useful for the fast extraction of the thermal time constant and thermal resistance and for the continuous monitoring of current degradation as well as the real-time temperature. Therefore, this new characterization method is beneficial for the evaluation of the self-heating effect in advanced ultra-scaled MOSFETs. Full article
(This article belongs to the Section Semiconductor Devices)
Show Figures

Figure 1

10 pages, 958 KiB  
Article
A Unified Semiconductor-Device-Physics-Based Ballistic Model for the Threshold Voltage of Modern Multiple-Gate Metal-Oxide-Semiconductor Field-Effect-Transistors
by Te-Kuang Chiang
Electron. Mater. 2024, 5(4), 321-330; https://doi.org/10.3390/electronicmat5040020 - 13 Dec 2024
Cited by 1 | Viewed by 1582
Abstract
Based on the minimum conduction band edge caused by the minimum channel potential resulting from the quasi-3D scaling theory and the 3D density of state (DOS) accompanied by the Fermi–Dirac distribution function on the source and drain sides, a unified semiconductor-device-physics-based ballistic model [...] Read more.
Based on the minimum conduction band edge caused by the minimum channel potential resulting from the quasi-3D scaling theory and the 3D density of state (DOS) accompanied by the Fermi–Dirac distribution function on the source and drain sides, a unified semiconductor-device-physics-based ballistic model is developed for the threshold voltage of modern multiple-gate (MG) transistors, including FinFET, Ω-gate MOSFET, and nanosheet (NS) MOSFET. It is shown that the thin silicon, thin gate oxide, and high work function will alleviate ballistic effects and resist threshold voltage degradation. In addition, as the device dimension is further reduced to give rise to the 2D/1D DOS, the lowest conduction band edge is increased to resist threshold voltage degradation. The nanosheet MOSFET exhibits the largest threshold voltage among the three transistors due to the smallest minimum conduction band edge caused by the quasi-3D minimum channel potential. When the n-type MOSFET (N-FET) is compared to the P-type MOSFET (P-FET), the P-FET shows more threshold voltage because the hole has a more effective mass than the electron. Full article
(This article belongs to the Special Issue Metal Oxide Semiconductors for Electronic Applications)
Show Figures

Figure 1

12 pages, 9057 KiB  
Article
Low Temperature (Down to 6 K) and Quantum Transport Characteristics of Stacked Nanosheet Transistors with a High-K/Metal Gate-Last Process
by Xiaohui Zhu, Lei Cao, Guilei Wang and Huaxiang Yin
Nanomaterials 2024, 14(11), 916; https://doi.org/10.3390/nano14110916 - 23 May 2024
Cited by 3 | Viewed by 1748
Abstract
Silicon qubits based on specific SOI FinFETs and nanowire (NW) transistors have demonstrated promising quantum properties and the potential application of advanced Si CMOS devices for future quantum computing. In this paper, for the first time, the quantum transport characteristics for the next-generation [...] Read more.
Silicon qubits based on specific SOI FinFETs and nanowire (NW) transistors have demonstrated promising quantum properties and the potential application of advanced Si CMOS devices for future quantum computing. In this paper, for the first time, the quantum transport characteristics for the next-generation transistor structure of a stack nanosheet (NS) FET and the innovative structure of a fishbone FET are explored. Clear structures are observed by TEM, and their low-temperature characteristics are also measured down to 6 K. Consistent with theoretical predictions, greatly enhanced switching behavior characterized by the reduction of off-state leakage current by one order of magnitude at 6 K and a linear decrease in the threshold voltage with decreasing temperature is observed. A quantum ballistic transport, particularly notable at shorter gate lengths and lower temperatures, is also observed, as well as an additional bias of about 1.3 mV at zero bias due to the asymmetric barrier. Additionally, fishbone FETs, produced by the incomplete nanosheet release in NSFETs, exhibit similar electrical characteristics but with degraded quantum transport due to additional SiGe channels. These can be improved by adjusting the ratio of the channel cross-sectional areas to match the dielectric constants. Full article
Show Figures

Figure 1

13 pages, 6824 KiB  
Article
Ultrasensitive 3D Stacked Silicon Nanosheet Field-Effect Transistor Biosensor with Overcoming Debye Shielding Effect for Detection of DNA
by Yinglu Li, Shuhua Wei, Enyi Xiong, Jiawei Hu, Xufang Zhang, Yanrong Wang, Jing Zhang, Jiang Yan, Zhaohao Zhang, Huaxiang Yin and Qingzhu Zhang
Biosensors 2024, 14(3), 144; https://doi.org/10.3390/bios14030144 - 14 Mar 2024
Cited by 3 | Viewed by 2617
Abstract
Silicon nanowire field effect (SiNW-FET) biosensors have been successfully used in the detection of nucleic acids, proteins and other molecules owing to their advantages of ultra-high sensitivity, high specificity, and label-free and immediate response. However, the presence of the Debye shielding effect in [...] Read more.
Silicon nanowire field effect (SiNW-FET) biosensors have been successfully used in the detection of nucleic acids, proteins and other molecules owing to their advantages of ultra-high sensitivity, high specificity, and label-free and immediate response. However, the presence of the Debye shielding effect in semiconductor devices severely reduces their detection sensitivity. In this paper, a three-dimensional stacked silicon nanosheet FET (3D-SiNS-FET) biosensor was studied for the high-sensitivity detection of nucleic acids. Based on the mainstream Gate-All-Around (GAA) fenestration process, a three-dimensional stacked structure with an 8 nm cavity spacing was designed and prepared, allowing modification of probe molecules within the stacked cavities. Furthermore, the advantage of the three-dimensional space can realize the upper and lower complementary detection, which can overcome the Debye shielding effect and realize high-sensitivity Point of Care Testing (POCT) at high ionic strength. The experimental results show that the minimum detection limit for 12-base DNA (4 nM) at 1 × PBS is less than 10 zM, and at a high concentration of 1 µM DNA, the sensitivity of the 3D-SiNS-FET is approximately 10 times higher than that of the planar devices. This indicates that our device provides distinct advantages for detection, showing promise for future biosensor applications in clinical settings. Full article
Show Figures

Figure 1

20 pages, 8766 KiB  
Review
A Review of Reliability in Gate-All-Around Nanosheet Devices
by Miaomiao Wang
Micromachines 2024, 15(2), 269; https://doi.org/10.3390/mi15020269 - 13 Feb 2024
Cited by 12 | Viewed by 8738
Abstract
The gate-all-around (GAA) nanosheet (NS) field-effect-transistor (FET) is poised to replace FinFET in the 3 nm CMOS technology node and beyond, marking the second seminal shift in device architecture across the extensive 60-plus-year history of MOSFET. The introduction of a new device structure, [...] Read more.
The gate-all-around (GAA) nanosheet (NS) field-effect-transistor (FET) is poised to replace FinFET in the 3 nm CMOS technology node and beyond, marking the second seminal shift in device architecture across the extensive 60-plus-year history of MOSFET. The introduction of a new device structure, coupled with aggressive pitch scaling, can give rise to reliability challenges. In this article, we present a review of the key reliability mechanisms in GAA NS FET, including bias temperature instability (BTI), hot carrier injection (HCI), gate oxide (Gox) time-dependent dielectric breakdown (TDDB), and middle-of-line (MOL) TDDB. We aim to not only underscore the unique reliability attributes inherent to NS architecture but also provide a holistic view of the status and prospects of NS reliability, taking into account the challenges posed by future scaling. Full article
(This article belongs to the Special Issue Reliability Issues in Advanced Transistor Nodes)
Show Figures

Figure 1

13 pages, 10659 KiB  
Article
Effect of Non-Ideal Cross-Sectional Shape on the Performance of Nanosheet-Based FETs
by Fengyu Kuang, Cong Li, Haokun Li, Hailong You and M. Jamal Deen
Electronics 2023, 12(16), 3419; https://doi.org/10.3390/electronics12163419 - 11 Aug 2023
Viewed by 2536
Abstract
In this article, the effects of non-ideal cross-sectional shapes of stacked nanosheet FET (NSFET) and nanosheet FET with inter-bridge channel (TreeFET) are studied through calibrated 3D TCAD simulations. The impact of non-ideal cross-sectional shapes on the electrical characteristics due to insufficient/excessive etch processes [...] Read more.
In this article, the effects of non-ideal cross-sectional shapes of stacked nanosheet FET (NSFET) and nanosheet FET with inter-bridge channel (TreeFET) are studied through calibrated 3D TCAD simulations. The impact of non-ideal cross-sectional shapes on the electrical characteristics due to insufficient/excessive etch processes are investigated in terms of inner spacer (IS), nanosheet (NS) channel, and inter-bridge (IB) channel. Simulation results show that the geometry and material of the IS have significant effects on the performance of the NSFET. Compared with the rectangular inner spacer (RIS), the low-k crescent inner spacer (CIS) enhances the gate control capability while the high-k CIS degrades the drain-induced barrier lowering (DIBL) and reduces the gate capacitance (Cgg). The tapered NS channel improves short-channel effects (SCEs), but sacrifices the driving current. For the TreeFET, considering the fin angle and concave arc, the IB channel can degrade the gate control capability, and SCEs degradation is severe compared to the ideal structure. Therefore, the non-ideal cross-sectional shapes have a significant impact on NSFET-based structure. This research provides development guidelines for process and structure optimization in advanced transistor technology nodes. Full article
(This article belongs to the Special Issue Advanced CMOS Devices)
Show Figures

Figure 1

14 pages, 7023 KiB  
Article
Investigation of Electro-Thermal Performance for TreeFET from the Perspective of Structure Parameters
by Weijing Liu, Xinfu Pan, Jiangnan Liu and Qinghua Li
Electronics 2023, 12(7), 1529; https://doi.org/10.3390/electronics12071529 - 24 Mar 2023
Cited by 1 | Viewed by 2120
Abstract
In this work, the electro-thermal properties of TreeFET, which combines vertically stacked nanosheet (NS) and fin-shaped interbridge (IB) channels, are investigated in terms of interbridge width (WIB), nanosheet space (SNS) and nanosheet width (WNS) by TCAD simulation. [...] Read more.
In this work, the electro-thermal properties of TreeFET, which combines vertically stacked nanosheet (NS) and fin-shaped interbridge (IB) channels, are investigated in terms of interbridge width (WIB), nanosheet space (SNS) and nanosheet width (WNS) by TCAD simulation. Electrical characteristics such as electron density distributions, on/off-state current (ION, IOFF), subthreshold swing (SS) and self-heating effects (SHE) such as lattice temperature and thermal resistance (Rth) are systematically studied to optimize the performance of TreeFET. The result shows that a smaller WIB mitigates the short-channel effects and increases the electron concentration in NS channels but increases thermal resistance. A larger SNS increases the on-state current while compensating for the gate drive loss and mitigating the thermal coupling effect between NS channels but results in longer conduction paths of carriers and heat, which hinders further improvements. Moreover, a suitable WNS is required to lessen the decline of gate controllability induced by IB channels. Hence, suitable geometry parameters should be selected to achieve a compromise between thermal and electrical performance. Full article
(This article belongs to the Special Issue Advanced CMOS Devices)
Show Figures

Figure 1

13 pages, 3425 KiB  
Article
Investigation of Source/Drain Recess Engineering and Its Impacts on FinFET and GAA Nanosheet FET at 5 nm Node
by Dawei Wang, Xin Sun, Tao Liu, Kun Chen, Jingwen Yang, Chunlei Wu, Min Xu and Wei (David) Zhang
Electronics 2023, 12(3), 770; https://doi.org/10.3390/electronics12030770 - 3 Feb 2023
Cited by 14 | Viewed by 9692
Abstract
Impacts of source/drain (S/D) recess engineering on the device performance of both the gate-all-around (GAA) nanosheet (NS) field-effect transistor (FET) and FinFET have been comprehensively studied at 5 nm node technology. TCAD simulation results show that the device off-leakage, including subthreshold leakage through [...] Read more.
Impacts of source/drain (S/D) recess engineering on the device performance of both the gate-all-around (GAA) nanosheet (NS) field-effect transistor (FET) and FinFET have been comprehensively studied at 5 nm node technology. TCAD simulation results show that the device off-leakage, including subthreshold leakage through the channel (Isub) and punch-through leakage (IPT) in the sub-channel, is strongly related to the S/D recess process. Firstly, device electrical characteristics such as current density distributions, On/Off-state current (Ion, Ioff), subthreshold swing (SS), RC delay, and gate capacitance (Cgg) are investigated quantitatively for DC/AC performance evaluation and comparison according to S/D lateral recess depth (Lrcs) variations. For both device types, larger Lrcs will result in a shorter effective channel length (Leff), so that the Ion and Ioff simultaneously increase. At the constant Ioff, the Lrcs can be optimized to enhance the device’s drivability by ~3% and improve the device’s RC delay by ~1.5% due to a larger Cgg as a penalty. Secondly, S/D over recess depth (Hrcs) in the vertical direction severely affects the punch-through leakage in the Sub-Fin or bottom parasitic channel region. The NSFET exhibits less Ioff sensitivity provided that it can be well controlled under 12 nm since the bottom parasitic channel is still gated. Furthermore, with both Hrcs and Lrcs accounted for in the device fabrication, the NSFET still shows better control of the off-leakage in the intrinsic and bottom parasitic channel regions and ~37% leakage reduction compared with FinFETs, which would be critical to enable further scaling and the low standby power application. Finally, the S/D recess engineering strategy has been given: a certain lateral recess could be optimized to obtain the best drive current and RC delay, while the vertical over-recess should be in tight management to keep the static power dissipation as low as possible. Full article
Show Figures

Figure 1

12 pages, 4763 KiB  
Article
Hyper-FET’s Phase-Transition-Materials Design Guidelines for Ultra-Low Power Applications at 3 nm Technology Node
by Hanggyo Jung, Jeesoo Chang, Changhyun Yoo, Jooyoung Oh, Sumin Choi, Juyeong Song and Jongwook Jeon
Nanomaterials 2022, 12(22), 4096; https://doi.org/10.3390/nano12224096 - 21 Nov 2022
Cited by 6 | Viewed by 2700
Abstract
In this work, a hybrid-phase transition field-effects-transistor (hyper-FET) integrated with phase-transition materials (PTM) and a multi-nanosheet FET (mNS-FET) at the 3 nm technology node were analyzed at the device and circuit level. Through this, a benchmark was performed for presenting device design guidelines [...] Read more.
In this work, a hybrid-phase transition field-effects-transistor (hyper-FET) integrated with phase-transition materials (PTM) and a multi-nanosheet FET (mNS-FET) at the 3 nm technology node were analyzed at the device and circuit level. Through this, a benchmark was performed for presenting device design guidelines and for using ultra-low-power applications. We present an optimization flow considering hyper-FET characteristics at the device and circuit level, and analyze hyper-FET performance according to the phase transition time (TT) and baseline-FET off-leakage current (IOFF) variations of the PTM. As a result of inverter ring oscillator (INV RO) circuit analysis, the optimized hyper-FET increases speed by +8.74% and reduces power consumption by −16.55%, with IOFF = 5 nA of baseline-FET and PTM TT = 50 ps compared to the conventional mNS-FET in the ultra-low-power region. As a result of SRAM circuit analysis, the read static noise margin is improved by 43.9%, and static power is reduced by 58.6% in the near-threshold voltage region when the PTM is connected to the pull-down transistor source terminal of 6T SRAM for high density. This is achieved at 41% read current penalty. Full article
Show Figures

Figure 1

8 pages, 3211 KiB  
Article
On-State Current Degradation Owing to Displacement Defect by Terrestrial Cosmic Rays in Nanosheet FET
by Jonghyeon Ha, Gyeongyeop Lee, Hagyoul Bae, Kihyun Kim, Jin-Woo Han and Jungsik Kim
Micromachines 2022, 13(8), 1276; https://doi.org/10.3390/mi13081276 - 8 Aug 2022
Viewed by 2629
Abstract
Silicon displacement defects are caused by various effects. For instance, epitaxial crystalline silicon growth and ion implantation often result in defects induced by the fabrication process, whereas displacement damage is induced by terrestrial cosmic radiation. Clustered displacement damage reportedly reduces the on-state current [...] Read more.
Silicon displacement defects are caused by various effects. For instance, epitaxial crystalline silicon growth and ion implantation often result in defects induced by the fabrication process, whereas displacement damage is induced by terrestrial cosmic radiation. Clustered displacement damage reportedly reduces the on-state current (Ion) in ordinary MOSFETs. In the case of an extremely scaled device such as a nanosheet field-effect transistor (NS-FET), the impact of displacement defect size was analyzed on the basis of the NS dimensions related to the device characteristics. In this study, we investigated the effect of displacement defects on NS-FETs using technology computer-aided design; the simulation model included quantum transport effects. The geometrical conditions, temperatures, trap concentrations, and scattering models were considered as the variables for on-state current reduction. Full article
(This article belongs to the Special Issue Feature Papers of Micromachines in Physics 2022)
Show Figures

Figure 1

6 pages, 1050 KiB  
Article
Source/Drain Trimming Process to Improve Gate-All-Around Nanosheet Transistors Switching Performance and Enable More Stacks of Nanosheets
by Kun Chen, Jingwen Yang, Tao Liu, Dawei Wang, Min Xu, Chunlei Wu, Chen Wang, Saisheng Xu, David Wei Zhang and Wenchao Liu
Micromachines 2022, 13(7), 1080; https://doi.org/10.3390/mi13071080 - 8 Jul 2022
Cited by 2 | Viewed by 3684
Abstract
A new S/D trimming process was proposed to significantly reduce the parasitic RC of gate-all-around (GAA) nanosheet transistors (NS-FETs) while retaining the channel stress from epitaxy S/D stressors at most. With optimized S/D trimming, the 7-stage ring oscillator (RO) gained up to 27.8% [...] Read more.
A new S/D trimming process was proposed to significantly reduce the parasitic RC of gate-all-around (GAA) nanosheet transistors (NS-FETs) while retaining the channel stress from epitaxy S/D stressors at most. With optimized S/D trimming, the 7-stage ring oscillator (RO) gained up to 27.8% improvement of delay with the same power consumption, for a 3-layer stacked GAA NS-FETs. Furthermore, the proposed S/D trimming technology could enable more than 4-layer vertical stacking of nanosheets for GAA technology extension beyond 3 nm CMOS technology. Full article
(This article belongs to the Special Issue Advanced Micro- and Nano-Manufacturing Technologies)
Show Figures

Figure 1

9 pages, 1953 KiB  
Article
Vacuum Inner Spacer to Improve Annealing Effect during Electro-Thermal Annealing of Nanosheet FETs
by Dong-Hyun Wang, Khwang-Sun Lee and Jun-Young Park
Micromachines 2022, 13(7), 987; https://doi.org/10.3390/mi13070987 - 24 Jun 2022
Cited by 1 | Viewed by 2524
Abstract
Electro-thermal annealing (ETA) in a MOSFET utilizes Joule heating. The high-temperature heat effectively cures gate dielectric damages induced by electrical stresses or ionizing radiation. However, even though ETA can be used to improve the reliability of logic and memory devices, applying ETA in [...] Read more.
Electro-thermal annealing (ETA) in a MOSFET utilizes Joule heating. The high-temperature heat effectively cures gate dielectric damages induced by electrical stresses or ionizing radiation. However, even though ETA can be used to improve the reliability of logic and memory devices, applying ETA in state-of-the-art field-effect transistors (FETs) such as nanosheet FETs (NS FETs) has not yet been demonstrated. This paper addresses the heat distribution characteristic of an NS FET considering the application of ETA, using 3D simulations. A vacuum inner spacer is newly proposed to improve annealing effects during ETA. In addition, evaluations of the device scaling and annealing effect were performed with respect to gate length, nanosheet-to-nanosheet vertical space, and inner spacer thickness. Guidelines for ETA in NS FETs can be provided on the basis of the results. Full article
(This article belongs to the Special Issue Feature Papers of Micromachines in Engineering and Technology 2022)
Show Figures

Figure 1

10 pages, 4171 KiB  
Article
N-Type Nanosheet FETs without Ground Plane Region for Process Simplification
by Khwang-Sun Lee and Jun-Young Park
Micromachines 2022, 13(3), 432; https://doi.org/10.3390/mi13030432 - 11 Mar 2022
Cited by 11 | Viewed by 5898
Abstract
This paper proposes a simplified fabrication processing for nanosheet Field-Effect Transistors (FETs) part of beyond-3-nm node technology. Formation of the ground plane (GP) region can be replaced by an epitaxial grown doped ultra-thin (DUT) layer on the starting wafer prior to Six [...] Read more.
This paper proposes a simplified fabrication processing for nanosheet Field-Effect Transistors (FETs) part of beyond-3-nm node technology. Formation of the ground plane (GP) region can be replaced by an epitaxial grown doped ultra-thin (DUT) layer on the starting wafer prior to Six/SiGe1−x stack formation. The proposed process flow can be performed in-situ, and does not require changing chambers or a high temperature annealing process. In short, conventional processes such as ion implantation and subsequent thermal annealing, which have been utilized for the GP region, can be replaced without degrading device performance. Full article
(This article belongs to the Special Issue Recent Advances in Thin Film Electronic Devices)
Show Figures

Figure 1

11 pages, 5385 KiB  
Article
Optimization of Gate-All-Around Device to Achieve High Performance and Low Power with Low Substrate Leakage
by Changhyun Yoo, Jeesoo Chang, Sugil Park, Hyungyeong Kim and Jongwook Jeon
Nanomaterials 2022, 12(4), 591; https://doi.org/10.3390/nano12040591 - 9 Feb 2022
Cited by 6 | Viewed by 3540
Abstract
In this study on multi-nanosheet field-effect transistor (mNS-FET)—one of the gate-all-around FETs (GAAFET) in the 3 nm technology node dimension—3D TCAD (technology computer-aided design) was used to attain optimally reduced substrate leakage from options including a punch-through-stopper (PTS) doping scheme and a bottom [...] Read more.
In this study on multi-nanosheet field-effect transistor (mNS-FET)—one of the gate-all-around FETs (GAAFET) in the 3 nm technology node dimension—3D TCAD (technology computer-aided design) was used to attain optimally reduced substrate leakage from options including a punch-through-stopper (PTS) doping scheme and a bottom oxide (BO) scheme for bottom isolation, with the performance improvement being shown in the circuit-level dynamic operation using the mNS-FET. The PTS doping concentration requires a high value of >5 × 1018 cm−3 to reduce gate induced drain leakage (GIDL), regardless of the presence or absence of the bottom isolation layer. When the bottom isolation is applied together with the PTS doping scheme, the capacitance reduction is larger than the on-state current reduction, as compared to when only the PTS doping concentration is applied. The effects of such transistor characteristics on the performance and capabilities of various circuit types—such as an inverter ring oscillator (RO), a full adder (FA) circuit, and a static random-access memory (SRAM)—were assessed. For the RO, applying BO along with the PTS doping allows the operating speed to be increased by 11.3% at the same power, or alternatively enables 26.4% less power consumption at the same speed. For the FA, power can be reduced by 6.45%, energy delay product (EDP) by 21.4%, and delay by 16.8% at the same standby power when BO and PTS are both applied. Finally, for the SRAM, read current (IREAD) increased by 18.7% and bit-line write margin (BWRM) increased by 12.5% at the same standby power. Through the circuit simulations, the Case 5 model (PTS doping concentration: 5.1 × 1018 cm−3, with BO) is the optimum condition for the best device and circuit performance. These observations confirm that PTS and bottom isolation applications in mNS-FETs can be utilized to enable the superior characteristics of such transistors to translate into high performance integrated circuits. Full article
(This article belongs to the Special Issue Nanomaterials for Electron Devices)
Show Figures

Graphical abstract

9 pages, 4180 KiB  
Article
TID Circuit Simulation in Nanowire FETs and Nanosheet FETs
by Jongwon Lee and Myounggon Kang
Electronics 2021, 10(8), 956; https://doi.org/10.3390/electronics10080956 - 16 Apr 2021
Cited by 7 | Viewed by 3408
Abstract
In this study, the effects of the total ionizing dose (TID) on a nanowire (NW) field-effect transistor (FET) and a nanosheet (NS) FET were analyzed. The devices have Gate-all-around (GAA) structure that are less affected by TID effects because GAA structures have better [...] Read more.
In this study, the effects of the total ionizing dose (TID) on a nanowire (NW) field-effect transistor (FET) and a nanosheet (NS) FET were analyzed. The devices have Gate-all-around (GAA) structure that are less affected by TID effects because GAA structures have better gate controllability than previously proposed structures, such as planar MOSFETs and FinFETs. However, even for GAA devices with the same channel cross-sectional area and equivalent oxide thickness, structural differences can exist, which can result in different tolerances of TID effects. To observe the device and circuit operation characteristics of these GAA devices with structural differences, n-type and p-type devices were designed and simulated. The circuit simulation according to TID effects was conducted using Berkeley short-channel insulated-gate FET model (BSIM) common multi-gate (CMG) parameters. The NS-FET generated more VT shift than the NW-FET because the NS-FET had a wider gate oxide area and channel circumference, resulting in more interface hole traps. The abnormal VT shift leads to causing unstable circuit operation and delays. Therefore, it was confirmed that the ability of the NW-FET to tolerate TID effects was better than that of the NS-FET. Full article
(This article belongs to the Special Issue New CMOS Devices and Their Applications II)
Show Figures

Figure 1

Back to TopTop