Next Article in Journal
Minimum Time for the Evolution to a Nonorthogonal Quantum State and Upper Bound of the Geometric Efficiency of Quantum Evolutions
Previous Article in Journal
Mechanism of Proton Pumping in Complex I of the Mitochondrial Respiratory Chain
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Transformation-Based Quantum Physical Synthesis Approach for Nearest-Neighbor Architectures

by
Golriz Hoseinimanesh
and
Naser Mohammadzadeh
*
Quantum Architectures and Computation Group (QACG), Department of Computer Engineering, Shahed University, Tehran 33191-18651, Iran
*
Author to whom correspondence should be addressed.
Quantum Rep. 2021, 3(3), 435-443; https://doi.org/10.3390/quantum3030028
Submission received: 24 June 2021 / Revised: 2 August 2021 / Accepted: 11 August 2021 / Published: 15 August 2021

Abstract

:
The physical synthesis concept for quantum circuits, the interaction between synthesis and physical design processes, was first introduced in our previous work. This concept inspires us to propose some techniques that can minimize the number of extra inserted SWAP operations required to run a circuit on a nearest-neighbor architecture. Minimizing the number of SWAP operations potentially decreases the latency and error probability of a quantum circuit. Focusing on this concept, we present a physical synthesis technique based on transformation rules to decrease the number of SWAP operations in nearest-neighbor architectures. After the qubits of a circuit are mapped onto the physical qubits provided by the target architecture, our procedure is fed by this mapping information. Our method uses the obtained placement and scheduling information to apply some transformation rules to the original netlist to decrease the number of extra SWAP gates required for running the circuit on the architecture. We follow two policies in applying a transformation rule, greedy and simulated-annealing-based policies. Simulation results show that the proposed technique decreases the average number of extra SWAP operations by about 20.6% and 24.1% based on greedy and simulated-annealing-based policies, respectively, compared with the best in the literature.

1. Introduction

Quantum computing is part of computer science research that focuses on the development of computers based on quantum theory that examines the nature and behavior of matter [1]. The invention of quantum computers represents a considerable leap in the ability of computer processing [2]. A quantum computer gains extreme processing power by following the laws of quantum physics. This improvement is achieved through the ability to have several states and execute different commands using all possible permutations at a single time. There is a fundamental difference between classical computers and next-generation quantum computers. A classical computer performs preset commands based on classic physics rules, but a quantum computer is a device that uniquely identifies a physical phenomenon based on quantum mechanics to basically detect a new mode of information processing. In a typical computer, the information is fed into a series of code bits, and these bits are manipulated by the Boolean logic gates that are applied serially to obtain the final result. In a quantum computer, instead of using transistors and conventional computer circuits, atoms and other fine particles are used to process information. An atom can act as a quantum bit of memory on a computer, and transfer of information from one location to another can also be achieved by optical fiber [3,4].
Physical design is one of the main processes of the quantum circuit design. The other process is synthesis. The synthesis and physical design processes were traditionally performed separately because the integration of two processes into one monolithic process makes the complexity of design process unmanageable [5]. However, without interaction between the physical design and the synthesis processes, the generated layout was not good. Addressing this issue, the physical synthesis concept [5], the interaction between synthesis and physical design processes, was introduced in [6] for quantum circuits. The physical synthesis modifies the netlist or layout considering the layout information to improve the objectives (e.g., latency) or meet the design constraints.
In most physical platforms, performing quantum gates on non-adjacent qubits is error prone and sometimes is hindered by the target technology [7]. Hence, quantum gates are restricted to be performed on neighboring qubits. To perform a gate, a communication channel of SWAP gates is needed to be constructed if the qubits in the physical environment are not adjacent. These additional SWAP gates increase the latency and error probability of the original quantum circuit [8]. Therefore, the fewer SWAP gates are added, the faster a quantum circuit is executed. This problem is an NP-hard problem [9]. Several heuristic approaches have been already proposed that tried to map qubits of a circuit on nearest-neighbor architectures in a way that the number of SWAP gates were minimized [10,11,12,13]. Focusing on this problem, we propose a transformation-based physical synthesis technique to decrease the number of SWAP gates. This procedure takes the mapping information and uses transformation rules to substitute some parts of the netlist so that the circuit needs fewer SWAP gates to be inserted.
The rest of the paper is organized as follows: The Section 2 overviews the prior works. Section 3 contains the main idea of the transformation method as well as our explanation of our strategy for applying this method. Section 4 and Section 5 show the experimental results and conclusion, respectively.

2. Related Work

This section is divided into two parts. In the first part, the works that use transformation rules for logic synthesis or post-synthesis optimization are mentioned. In the second part, the research done on the physical synthesis in quantum circuits is stated.
The work performed in [14] presented the idea of local transformation of reversible circuits. While the main purpose of this work was not post-synthesis optimization, its idea was extended by other researchers to improve circuit costs. The authors defined a canonical form for circuits in the NCT library and introduced a complete set of rules to transform any NCT-constructible circuit into its canonical form, which may or may not be compact. Shende et al. [15] proposed a new rule for simplification of reversible circuits in the NCT library. The concept of applying a rule set was extended in [16], where the authors introduced several transformation rules based on a set of predefined patterns called templates. In [17], template matching with up to six gates was used in post-synthesis optimization. Similarly, the Toffoli–Fredkin templates were explored in [18,19]. Toffoli templates were expanded in [20,21] by the addition of all templates of size 7 (five templates) and a set of templates of size 9 (four templates). Maslov et al. [22] used templates and rules to simplify quantum circuits, such as a 10-gate quantum network for a 3-qubit full adder. Lu et al. [23] proposed equivalent circuits. Equations were introduced in [23] to simplify the quantum circuit as much as possible. Saeedi et al. [24] extended the templates to work with up to three SWAP gates. Arabzadeh et al. [25] proposed a set of simplification rules in terms of positively and negatively controlled Toffoli gates. An optimization in [26] used a window to select potential subcircuits first. Abdessaied et al. [27] used Boolean satisfiability for template matching. In the work performed in [28], a systematic method of generating all templates with a given number of lines was presented. Bandyopadhyay et al. [29] proposed a post-synthesis optimization technique for reversible circuits based on newly defined templates. In their work, templates are applied on a specific order over the input circuit and exhaustively search through the circuit for possible replacements.
The physical synthesis concept in quantum circuits was introduced in [6] for the first time and some physical synthesis techniques proposed for ion trap technology in the next papers [30,31,32,33].
Most of the above-mentioned papers are at the circuit level. However, our focus is on the physical design level after the physical mapping is done in nearest-neighbor architectures.

3. Transformation-Based Physical Synthesis

The physical synthesis modifies the netlist or layout considering the layout information to improve the objectives (e.g., latency or error probability) or meet the design constraints. The proposed scheme in this paper uses transformation rules to achieve the desired improvements. These templates are composed of gates implementable in nearest-neighbor technologies [34]. To apply those to the circuit, we present a flow. In the rest of this section, we first introduce transformation rules and then present the flow.
Transformation rules consist of two equivalent sequences of gates. The first sequence of gates is matched with a section of the circuit for simplification, and it is replaced with its equivalent one when a match is found. Figure 1 shows our transformation rules used in our approach. These rules are easily verifiable by checking their equivalent matrices.
Figure 2 shows the proposed flow for applying the transformation approach. The flow uses an optimized gate-level netlist as an input and generates a scheduled mapping. We use the mapping approach proposed in [11] to map the input netlist onto the architecture.
After building the mapped circuit, our optimization loop is started. Our greedy policy for transformation is as follows. One sequence is searched in the input netlist, and the equivalent sequence is tentatively replaced into the location that it is found. Then, the number of SWAP gates is calculated. If the number of SWAP gates is improved, this sequence replacement is accepted. Otherwise, it is rejected. When the search of the first sequence is completed, the search of the next sequence with the same mechanism follows. The optimization loop continues until all sequences are examined.

An Example

In this section, an example is given to illustrate our transformation-based physical synthesis approach. Figure 3a,b shows a template and a quantum circuit operating on q0, q1, q2, and q3, respectively. It can be easily verified by matrix multiplication that the two circuits shown in Figure 3a are functionally equal. This circuit has 6 gates and 4 qubits. If the initial locations of the qubits on the lattice are as in Figure 3c, four SWAP gates are needed, as shown in Figure 3d. However, when the two-gate template is replaced by its equivalent one, the circuit is transformed into one shown in Figure 3e that needs two SWAP gates, as shown in Figure 3f.

4. Experimental Results

To evaluate the proposed approach, it was applied to the benchmark circuits from [34]. In this paper, we targeted the number of SWAP gates as the objective function and reduced it to minimize the latency and error probability of the circuits. Although our approach is applicable to all kinds of nearest-neighbor architectures, we applied it to the 2D square lattice topology to compare our approach with the previous one [11].
Table 1 shows the number of SWAP gates and the run time of the benchmark circuits resulted from prior physical design flow [11] and our physical design flow enhanced by the template-matching physical synthesis technique. The number of SWAP gates of circuits obtained by the prior physical design flow and ours are shown in the columns “Prior Physical Design Flow” and “Our Physical Design Flow”, respectively. The column “Improvement” shows that the improvement of the number of SWAP gates resulted from the physical synthesis approach proposed in this paper. As can be seen, a considerable improvement of 20.6% (on average) was achieved in the number of SWAP gates of the benchmarks. The columns “Prior Physical Design Flow” and “Our Physical Design Flow” under “Run Time” show the run time of the prior physical design flow and the run time of our optimization technique, respectively. The last column includes the runtime overhead imposed by our optimization approach.

Heuristic Algorithm Analysis

As stated before, we followed a greedy approach to accept or reject one substitution. In other words, the substitutions increasing the number of SWAP gates were rejected. To examine the impact of applying another heuristic on the result, we used simulated annealing (SA) heuristics [35] in accepting or rejecting substitutions. Table 2 shows the results of using the heuristic. The column “Our Approach Based on SA” under “Number of SWAP Gates” shows the number of SWAP gates obtained by our physical design flow when we substitute the simulated annealing heuristic for our greedy approach. The columns “Our Approach Based on Greedy” and “Our Approach Based on SA” under “Run Time” show the run times of our physical design flow using the simulated annealing approach and the greedy approach, respectively. The column “SA/Greedy Ratio” under “Number of SWAP Gates” contains the ratio of the number of SWAP gates obtained by simulated annealing to that achieved by our greedy approach. The column “Improvement” shows that the improvement of the number of SWAP gates resulted from the physical synthesis approach based on SA. The column “Overhead” includes the runtime overhead imposed by the SA approach. The last column includes the ratio of the run time of the flow based on the simulated annealing approach to that based on our greedy approach. It can be observed from the table that simulated annealing provided slightly better results than the greedy approach in most cases. However, on average, the run time of simulated annealing is almost 6.49 times longer. This observation might suggest that while various heuristics may provide slightly different results, it is the execution time that varies the most among them. In other words, it appears that the execution time is the determining factor in choosing among the heuristic approaches. Based on this, we chose the greedy approach for the remainder of this paper.
Figure 4 depicts the behavior of the number of SWAP gates obtained by the two approaches in accepting or rejecting a substitution. Although the improvement obtained by our approach depends on the structure of a circuit, as the number of gates increases, more templates can be potentially found in the circuit. Therefore, as the figure implies, the improvement increases with increasing the number of gates.

5. Conclusions

The idea behind this paper is to present equivalent models with the same level number and to use them in the physical synthesis of quantum circuits. Physical synthesis involves making local changes in the netlist to improve design criteria, including the delay of quantum circuits. In this paper, a number of templates are proposed, and by substituting these templates in different benchmark circuits, an improvement is presented in the number of SWAP gates. To put the proposed templates into the experiment, nearest-neighbor architectures are selected as our substrate architecture. The results show that the template-matching approach improves the number of SWAP gates up to 41%.
To evaluate our greedy approach in deciding a substitution, we compared it with the SA approach. The results showed that the SA method improves the number of SWAP gates only marginally, while its run time is almost 6.49 times longer.

Author Contributions

Conceptualization, N.M.; methodology, N.M.; software, G.H.; validation, N.M.; formal analysis, N.M.; investigation, N.M.; resources, G.H.; data curation, G.H.; writing—original draft preparation, N.M.; writing—review and editing, N.M.; visualization, G.H.; supervision, N.M.; project administration, N.M.; funding acquisition, N.M. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Nielsen, M.A.; Chuang, I. Quantum Computation and Quantum Information; American Association of Physics Teachers: College Park, MD, USA, 2002. [Google Scholar]
  2. Monroe, D. Quantum Leap; ACM: New York, NY, USA, 2018. [Google Scholar]
  3. Ladd, T.D.; Jelezko, F.; Laflamme, R.; Nakamura, Y.; Monroe, C.; O’Brien, J.L. Quantum computers. Nature 2010, 464, 45–53. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  4. Sargaran, S.; Mohammadzadeh, N. Saqip: A scalable architecture for quantum information processors. ACM Trans. Archit. Code Optim. (TACO) 2019, 16, 1–21. [Google Scholar] [CrossRef] [Green Version]
  5. Alpert, C.J.; Chu, C.; Villarrubia, P.G. Physical synthesis comes of age. In Proceedings of the International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, 4–8 November 2007. [Google Scholar]
  6. Mohammadzadeh, N.; Sedighi, M.; Zamani, M.S. Quantum physical synthesis: Improving physical design by netlist modifications. Microelectron. J. 2010, 41, 219–230. [Google Scholar] [CrossRef]
  7. Meter, R.; Oskin, M. Architectural implications of quantum computing technologies. ACM J. Emerg. Technol. Comput. Syst. (JETC) 2006, 2, 31–63. [Google Scholar] [CrossRef] [Green Version]
  8. Lye, A.; Wille, R.; Drechsler, R. Determining the minimal number of swap gates for multi-dimensional nearest neighbor quantum circuits. In Proceedings of the 20th Asia and South Pacific Design Automation Conference, Chiba, Japan, 19–22 January 2015. [Google Scholar]
  9. Wille, R.; Lye, A.; Drechsler, R. Optimal SWAP gate insertion for nearest neighbor quantum circuits. In Proceedings of the 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), Singapore, 20–23 January 2014. [Google Scholar]
  10. Lin, C.-C.; Sur-Kolay, S.; Jha, N.K. PAQCS: Physical Design-Aware Fault-Tolerant Quantum Circuit Synthesis. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2014, 23, 1221–1234. [Google Scholar] [CrossRef]
  11. Farghadan, A.; Mohammadzadeh, N. Quantum circuit physical design flow for 2D nearest-neighbor architectures. Int. J. Circuit Theory Appl. 2017, 45, 989–1000. [Google Scholar] [CrossRef]
  12. Maslov, D.; Falconer, S.M.; Mosca, M. Quantum circuit placement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2008, 27, 752–763. [Google Scholar] [CrossRef]
  13. Shafaei, A.; Saeedi, M.; Pedram, M. Qubit placement to minimize communication overhead in 2D quantum architectures. In Proceedings of the 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), Singapore, 20–23 January 2014. [Google Scholar]
  14. Iwama, K.; Kambayashi, Y.; Yamashita, S. Transformation rules for designing CNOT-based quantum circuits. In Proceedings of the 39th Annual Design Automation Conference, New Orleans, LA, USA, 10–14 June 2002. [Google Scholar]
  15. Shende, V.V.; Prasad, A.K.; Patel, K.N.; Markov, I.L.; Hayes, J.P. Scalable simplification of reversible circuits. In Proceedings of the 12th International Workshop on Logic and Synthesis (IWLS’03), Laguna Beach, CA, USA, 28–30 May 2003. [Google Scholar]
  16. Miller, D.M.; Maslov, D.; Dueck, G.W. A transformation based algorithm for reversible logic synthesis. In Proceedings of the 40th Annual Design Automation Conference, Anaheim, CA, USA, 2–6 June 2003. [Google Scholar]
  17. Maslov, D.; Dueck, G.W.; Miller, D.M. Toffoli network synthesis with templates. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2005, 24, 807–817. [Google Scholar] [CrossRef] [Green Version]
  18. Maslov, D.; Dueck, G.W.; Miller, D.M. Fredkin: Toffoli templates for reversible logic synthesis. In Proceedings of the ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), San Jose, CA, USA, 9–13 November 2003. [Google Scholar]
  19. Maslov, D.; Dueck, G.W.; Miller, D.M. Synthesis of Fredkin-Toffoli reversible networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2005, 13, 765–769. [Google Scholar] [CrossRef] [Green Version]
  20. Maslov, D.; Dueck, G.W.; Miller, D.M. Simplification of Toffoli networks via templates. In Proceedings of the 16th Symposium on Integrated Circuits and Systems Design, SBCCI 2003, Sao Paulo, Brazil, 8–11 September 2003. [Google Scholar]
  21. Maslov, D.; Dueck, G.W.; Miller, D.M. Techniques for the synthesis of reversible Toffoli networks. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 2007, 12, 42. [Google Scholar] [CrossRef] [Green Version]
  22. Maslov, D.; Dueck, G.W.; Miller, D.M.; Negrevergne, C. Quantum circuit simplification and level compaction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2008, 27, 436–444. [Google Scholar] [CrossRef] [Green Version]
  23. Lu, L.; Li, R.; Guo, L.; Fu, Q. Maximal entanglement entanglement-assisted quantum codes constructed from linear codes. Quantum Inf. Process. 2014, 14, 165–182. [Google Scholar] [CrossRef]
  24. Saeedi, M.; Wille, R.; Drechsler, R. Synthesis of quantum circuits for linear nearest neighbor architectures. Quantum Inf. Process. 2010, 10, 355–377. [Google Scholar] [CrossRef] [Green Version]
  25. Arabzadeh, M.; Saeedi, M.; Zamani, M.S. Rule-based optimization of reversible circuits. In Proceedings of the 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, 18–21 January 2010. [Google Scholar]
  26. Soeken, M.; Wille, R.; Dueck, G.W.; Drechsler, R. Window optimization of reversible and quantum circuits. In Proceedings of the 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, Vienna, Austria, 14–16 April 2010. [Google Scholar]
  27. Abdessaied, N.; Soeken, M.; Wille, R.; Drechsler, R. Exact template matching using Boolean satisfiability. In Proceedings of the 2013 IEEE 43rd International Symposium on Multiple-Valued Logic, Toyama, Japan, 22–24 May 2013. [Google Scholar]
  28. Rahman, M.M.; Dueck, G.W. Properties of quantum templates. In International Workshop on Reversible Computation; Springer: Berlin/Heidelberg, Germany, 2012. [Google Scholar]
  29. Bandyopadhyay, C.; Wille, R.; Drechsler, R.; Rahaman, H. Post Synthesis-Optimization of Reversible Circuit using Template Matching. In Proceedings of the 2020 24th International Symposium on VLSI Design and Test (VDAT), Bhubaneswar, India, 23–25 July 2020. [Google Scholar]
  30. Mohammadzadeh, N.; Zamani, M.S.; Sedighi, M. Auxiliary qubit selection: A physical synthesis technique for quantum circuits. Quantum Inf. Process. 2010, 10, 139–154. [Google Scholar] [CrossRef]
  31. Mohammadzadeh, N.; Zamani, M.S.; Sedighi, M. Improving latency of quantum circuits by gate exchanging. In Proceedings of the 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, Patras, Greece, 27–29 August 2009. [Google Scholar]
  32. Mirkhani, Z.; Mohammadzadeh, N. Physical synthesis of quantum circuits using templates. Quantum Inf. Process. 2016, 15, 4117–4135. [Google Scholar] [CrossRef]
  33. Mohammadzadeh, N.; Zamani, M.S.; Sedighi, M. Quantum circuit physical design methodology with emphasis on physical synthesis. Quantum Inf. Process. 2013, 13, 445–465. [Google Scholar] [CrossRef]
  34. Lin, C.-C.; Chakrabarti, A.; Jha, N.K. FTQLS: Fault-tolerant quantum logic synthesis. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2013, 22, 1350–1363. [Google Scholar] [CrossRef]
  35. Brooks, S.; Morgan, B.J.T. Optimization Using Simulated Annealing. J. R. Stat. Soc. Ser. D 1995, 44, 241. [Google Scholar] [CrossRef]
Figure 1. Transformation rules used in this paper.
Figure 1. Transformation rules used in this paper.
Quantumrep 03 00028 g001aQuantumrep 03 00028 g001b
Figure 2. The proposed flow for applying transformations.
Figure 2. The proposed flow for applying transformations.
Quantumrep 03 00028 g002
Figure 3. (a) A quantum transformation rule, (b) a quantum circuit, (c) initial locations of qubits, (d) the original circuit including SWAP gates, (e) the transformed circuit, and (f) the transformed circuit including SWAP gates.
Figure 3. (a) A quantum transformation rule, (b) a quantum circuit, (c) initial locations of qubits, (d) the original circuit including SWAP gates, (e) the transformed circuit, and (f) the transformed circuit including SWAP gates.
Quantumrep 03 00028 g003
Figure 4. The behavior of the number of SWAP gates obtained by using two different approaches in accepting or rejecting substitutions.
Figure 4. The behavior of the number of SWAP gates obtained by using two different approaches in accepting or rejecting substitutions.
Quantumrep 03 00028 g004
Table 1. The results achieved by prior physical design flow and ours for the attempted benchmarks 1.
Table 1. The results achieved by prior physical design flow and ours for the attempted benchmarks 1.
#BenchmarksQubitsGatesNumber of SWAP GatesRun Time (ms) 2
Prior Physical
Design Flow [11]
Our Physical Design FlowImprovement (%)Prior Physical
Design Flow [11]
Our Physical Design FlowOverhead (%)
1Adder-81838155509.12372505.2
2Adder-16347571079313.12893065.6
3Adder-2450113314712614.32903106.5
4Adder-3266150919316017.12953176.9
5Adder-64130301338530421.0264028507.4
6Qft77104151313.312120.0
7Qft88135161318.815150.0
8Qft99170252020.018195.3
9Qft1010209282125.026287.1
10Grover67331419516017.9302031504.1
11Grover7810,6721326100224.4754081087.0
12Grover8929,4541508102432.112,78014,0028.7
13Grover91080,4808415492841.424,98728,05010.9
Average 20.6 5.7
1 All results of this section are obtained on a Core i3 with 6 gigabyte of memory. 2 As calculated by the Rational Quantify suite.
Table 2. The number of SWAP gates of the benchmark circuits achieved by using simulated annealing heuristics compared with our greedy approach in accepting or rejecting a substitution.
Table 2. The number of SWAP gates of the benchmark circuits achieved by using simulated annealing heuristics compared with our greedy approach in accepting or rejecting a substitution.
#BenchmarksNumber of SWAP GatesRun Time (ms)
Our Approach Based on GreedyOur Approach Based on SAImprovement (%)SA/Greedy RatioOur Approach Based on GreedyOur Approach Based on SAOverhead (%)SA/Greedy
Ratio
1Adder-850500.01250580132.02.3
2Adder-1693912.21.030660096.12.0
3Adder-241261232.41.0310780151.62.5
4Adder-321601553.11.0317900183.92.8
5Adder-643042953.01.0285020,950635.17.4
6Qft713130.01.0122066.71.7
7Qft813127.71.01530100.02.0
8Qft9201810.00.91946142.12.4
9Qft1021199.50.928150435.75.4
10Grover61601553.10.9315030,270861.09.6
11Grover710029069.61.08108100,7041142.012.4
12Grover810249952.80.914,002193,5091282.013.8
13Grover9492846565.51.028,050563,2821908.120.1
Average 4.51.0 548.96.49
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Hoseinimanesh, G.; Mohammadzadeh, N. A Transformation-Based Quantum Physical Synthesis Approach for Nearest-Neighbor Architectures. Quantum Rep. 2021, 3, 435-443. https://doi.org/10.3390/quantum3030028

AMA Style

Hoseinimanesh G, Mohammadzadeh N. A Transformation-Based Quantum Physical Synthesis Approach for Nearest-Neighbor Architectures. Quantum Reports. 2021; 3(3):435-443. https://doi.org/10.3390/quantum3030028

Chicago/Turabian Style

Hoseinimanesh, Golriz, and Naser Mohammadzadeh. 2021. "A Transformation-Based Quantum Physical Synthesis Approach for Nearest-Neighbor Architectures" Quantum Reports 3, no. 3: 435-443. https://doi.org/10.3390/quantum3030028

Article Metrics

Back to TopTop