Next Article in Journal
Micro-CT Evaluation of Defects in Ti-6Al-4V Parts Fabricated by Metal Additive Manufacturing
Previous Article in Journal
An Intelligent Model for the Prediction of Bond Strength of FRP Bars in Concrete: A Soft Computing Approach
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Perspective on Terahertz Next-Generation Wireless Communications

School of Electrical & Computer Engineering, Oklahoma State University, 202 Engineering South, Stillwater, OK 74078, USA
*
Author to whom correspondence should be addressed.
Technologies 2019, 7(2), 43; https://doi.org/10.3390/technologies7020043
Submission received: 25 March 2019 / Revised: 31 May 2019 / Accepted: 6 June 2019 / Published: 12 June 2019
(This article belongs to the Special Issue Terahertz Technologies)

Abstract

:
In the past year, fifth-generation (5G) wireless technology has seen dramatic growth, spurred on by the continuing demand for faster data communications with lower latency. At the same time, many researchers argue that 5G will be inadequate in a short time, given the explosive growth of machine connectivity, such as the Internet-of-Things (IoT). This has prompted many to question what comes after 5G. The obvious answer is sixth-generation (6G), however, the substance of 6G is still very much undefined, leaving much to the imagination in terms of real-world implementation. What is clear, however, is that the next generation will likely involve the use of terahertz frequency (0.1–10 THz) electromagnetic waves. Here, we review recent research in terahertz wireless communications and technology, focusing on three broad topic classes: the terahertz channel, terahertz devices, and space-based terahertz system considerations. In all of these, we describe the nature of the research, the specific challenges involved, and current research findings. We conclude by providing a brief perspective on the path forward.

1. Introduction

The recent deployment of fifth-generation (5G) wireless networks opens a new chapter in mobile communications, spurred onward by the continuing push for ultra-reliable, low-lag, high bandwidth communications for applications such as smart homes, e-health, Internet-of-Things (IoT), connected vehicles, and virtual reality [1,2]. The roll-out is moving rapidly forward, having started in 2018 with fixed network deployments in major cities, now moving to mobile networks, hot-spot devices, and basic network infrastructure on a much wider scale [3,4]. Mobile phones are still in development, but are also expected to deploy in 2019 [4].
5G networks promise to offer important connectivity advantages: energy savings, higher system capacity, reduced latency, and of course higher data rates. Counting on the implementation of concepts such as massive MIMO and smart antenna technology, the International Telecommunication Union (ITU) IMT-2020 specification targets peak download/upload speeds at 20/10 Gbps, with channel bandwidths between 100–1000 MHz. Such high data rates and wide channels are obviously not possible except by the use of millimeter-wave (mm-wave) carriers. Indeed, the United States Federal Communication Commission has opened unlicensed bands extending all the way to 71 GHz for 5G [5]. This already overlaps what many scientists and engineers consider to be “terahertz”. However, for purposes of this review we adopt a more common convention in the literature, where “terahertz” is defined as 0.1–10 THz.
The terahertz (THz) region has been actively investigated in the literature, enabling a variety of new applications including spectroscopy, sensing, and communications [6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22]. There is a wide variety of publications focusing on the frequency range approximately from 300 GHz up to 3 THz, and its fundamental and promising capability as a next technology platform [10,11,23]. Potential use cases of wireless terahertz communication are: ultrafast wireless local-area-networks, intra-chip connectivity, kiosk downloads [20,21], and server farm connectivity [24].
Before the next-generation wireless technology can utilize waves in the >0.1 THz frequency range, there are many scientific and engineering challenges, as well as opportunities, that will need to be addressed. In this review we focus on three broad classes. First, there is the terahertz channel. This encompasses the new challenges of working with terahertz frequency waves as they propagate from transmitter to receiver, in predominately terrestrial communication links. While terahertz waves are like radio-frequency (RF) waves in many respects, their shorter wavelength affects beam directivity, diffraction, and antenna properties. In addition, the reflectivity, transmissivity, and absorption of materials, especially the atmosphere, are quite different. Second, we consider terahertz devices. The generation, reception, and conversion of terahertz waves in mobile devices require cutting-edge electronic, photonic, or hybrid approaches that push the limits of material properties and device capabilities, while simultaneously enabling cost-effective fabrication and device integration. Third, we consider space-based terahertz opportunities, since these applications may be uniquely suited to terahertz communications, and since they demand system-level solutions that will be common to terrestrial systems and space systems alike, with probably greater restrictions arising from the latter. In other words, space-based terahertz communications may be excellent development surrogates for future terrestrial systems. The relevant engineering challenges involve topics such as beam pointing controls, vibration, link stability, radiation-hardening, and power consumption. As we will show, all of these topics have been the target of recent terahertz research.

2. The Terahertz Channel

The successful deployment of terahertz systems requires a solid understanding and accurate modeling of wireless channel conditions (propagation characteristics) between the transmitter (Tx) and receiver (Rx). Research work has now begun in earnest to understand terahertz wireless channels, which have many unique characteristics that distinguish them significantly from microwave work. The usual concern of most interest is wave extinction, either by spreading loss or absorption. For absorption, molecular oxygen is important at and below 120 GHz, however, water vapor absorption dominates by far in the remaining bands. Figure 1 shows plots of atmospheric power attenuation for the 0–1 THz range.
Various studies since 2011 described and accurately modeled the absorption of the atmosphere [22,25,26] from the perspective of a wireless communication channel. Using time-domain spectroscopy (THz-TDS), some of this work [26] revealed that the atmosphere can be very accurately modeled by careful use of Molecular Response Theory taking individual resonant absorption lines from the HITRAN database [26,27]. Importantly, this work showed how continuum absorption is often handled inconsistently, leading to discrepancies in total absorption. Unlike microwaves and other lower frequency RF, continuum absorption is an important contributor to absorption in the terahertz regime, which means it can no longer be ignored. It is of practical interest that recently (in 2018), O’Hara and Grischkowsky showed that the standard tool provided by the Radiocommunication Sector of the International Telecommunication Union (ITU-R) for calculating absorption (recommendation ITU-R P.676-11, 2016) was not accurate above 400 GHz [28]. This was due to both the way the continuum is accounted for in ITU-R, as well as the shape of resonant absorption lines selected. It is thus apparent that even though modeling of the terahertz channel may be advanced, it has not yet fully translated into widely-utilized engineering tools.
While earlier studies underpinned very accurate descriptions of absorption in isolation, the nature of the channel is considerably more complicated. For one, the Friis transmission formula does not adequately capture several propagation characteristics of practical channels such as multipath, non-line of sight (NLOS), and Doppler effects. Further, because of the dynamic nature of the atmosphere and mobile communication links, it is necessary to measure the channel and extract key characteristics of the propagation as a function of time so that a reliable channel model can be developed and used in wireless communication system design emulators/simulators. Empirical data is therefore required to determine accurate analytic and stochastic models of terahertz wireless channels. In terms of multi-path and NLOS systems, the nature of terahertz scattering is an important concern. Measurements of terahertz scattering for communication purposes began as early as 2007 [29], where terahertz scattering off of building materials, such as plaster and wallpaper, was studied. More recently, such work advanced to actual measurements of bit error rates (BER) in NLOS indoor and multipath outdoor terahertz communication links, ranging from 100–400 GHz carrier frequencies [30]. The work showed that surprisingly good performance can be obtained in spite of NLOS and multi-path effects with ranges of between 10–60 m and modest transmitter power (6 dBm) assuming the carrier frequency can be tuned and the Tx and Rx can be optimally aligned.
This last research is an example of system-level channel testing, rather than piecewise measurements of scattering and absorption, for example. Ultimately, terahertz communications will require a large and dedicated effort in system-wide channel sounding. This is the experimental technique of measuring a wireless communication channel, with all of its various complications. Results of channel sounding will be married to channel modeling [15] for future communication systems to be predictably engineered. Summarily, the goal of channel sounding is to determine the complex channel impulse response (CIR) (or frequency response due to duality) of a wireless communication channel (Figure 2).
The CIR is ideal for characterizing the channel as it is comprehensive in the information it provides. Of course it contains both magnitude and phase information from the signal. However, it also permits determination of some other important channel parameters including angle of arrival; angle of departure; Doppler shift; and power delay profile. Part of the importance of channel sounding is that it must be used for developing a stochastic channel model, taking into account the general channel fading, which describes the attenuation of the wireless signal due to a large combination of variables. Fading may occur from atmospheric attenuation, obstructions, time-domain variations (e.g., due to receiver motion), multi-path interference effects, and more. In RF engineering practice, systems can be designed by simplified models where large-scale fading is summarized by a single equation [31],
P r P t [ dB ] = 10 log 10 K 10 γ log 10 d d 0 ψ dB .
Here, K and d 0 are reference constants associated with the antenna characteristics and range, respectively. NLOS fading requires even more complication due to the (typically) Rician statistical variations of the received signal [31]. For an ideal LOS channel, it would be found that γ = 2 corresponding to normal space loss ( 1 / r 2 ) in propagation, and ψ dB = 0 , corresponding to zero shadowing effects. Many of the extant terahertz measurements are of this variety (or nearly so) since it makes isolation of physical mechanisms like absorption possible [25,26,32,33,34,35]. In real communication system channels, however, neither of these is true. Instead γ may range from 1.6–6.5 and ψ dB is a zero-mean Gaussian variable with some non-zero variance [31]. Moreover, NLOS channels are unavoidable in realistic wireless channels, adding further complication. Accurate stochastic descriptions for terahertz wireless systems require full-scale, real-world channel sounding to be validated. However, we note that first steps are underway. For example, empirical bit error rate determinations have been made for terahertz systems operating in environmental conditions with dust [36], fog [33,37], and rain [38].
The key requirements for accurate terahertz channel sounding are: high dynamic range (within a narrow frequency range) while maintaining wide tunability, fast detection (to capture real-time dynamics), and a long enough measurement window to capture power delay profiles. More generally, long measurement windows and real-time dynamics are conflicting requirements that can only be met with a compromise [15]. Terahertz researchers have therefore adopted different channel sounding techniques with complementary benefits to handle all cases: i) THz-TDS. The TDS method is widely used in basic material spectroscopy research and offers extremely large bandwidth and excellent time resolution. In addition, since it is a pulsed method, time-gating is possible to isolate scattering mechanisms within the channel, a decided advantage over frequency-domain systems for multi-path studies. However, these systems generally suffer from lower dynamic range, low spectral power density, and limited measurement windows (1–2 ns). Furthermore, an accurate stochastic picture of time-variable, multi-path contributions cannot be built with a TDS system. This is because TDS is not “real-time,” but instead employs a relatively slow averaging measurement technique in order to increase the signal-to-noise ratio. That technique requires the measured channel remain constant over the whole measurement duration, which is typically several minutes. Therefore, time-variant effects from Doppler shifts and limited channel coherence time cannot be measured in time-domain systems. Furthermore, it is an ultrafast laser-driven technique, making it difficult and expensive to implement in practical situations. We will devote more discussion to the other two methods: (ii) the VNA-swept-frequency method, where the channel frequency response is measured by using a vector network analyzer (VNA), (iii) the sliding-correlator (SC) method. The combination of these approaches will be suitable for a wide range of channel propagation measurements with 1) short- and long-distances, 2) narrowband and wideband signals, 3) time- and frequency-domain, and 4) stationary and mobile scenarios. Historically, the VNA and SC based methods have been practically more important, being the common approaches in microwave systems where TDS is not commonly available. The development of these methods is now beginning in the terahertz realm [12,13,14,15,21]. Both time- and frequency-domain channel sounders operating between 3 to 110 GHz are summarized in [13], although most of these fall into the 60 GHz and lower frequency range. More recent results extend this range to 140 GHz [16].
In the VNA-swept-frequency method, the channel frequency response is measured by using a VNA (together with subharmonic mixers to operate at THz bands). The VNA steps through a range of discrete, narrowband frequency tones swept across the bandwidth of interest and, at each frequency, measures the channel’s magnitude and phase response followed by an inverse discrete Fourier transform of the channel transfer function, which results in a complex CIR [13]. With this technique, very precise channel measurements can be achieved in static environments using a VNA with desired frequency resolution [12]. Vector network analyzers are typically utilized in static environments because of the long frequency sweep time across a broad spectrum, which can exceed the channel coherence time [13]. In addition, hard-wired connections are required for VNA two-port network synchronization that make it more suitable for indoor and short-range measurements [12,13,14]. Hence, it is restricted to one waveguide band at a time and cannot support wideband measurements with mobile transmitters or receivers. Two rubidium (Rb)- and/or cesium (Cs)-based high-stability clocks can be employed to replace the synchronization cable and act as frequency standards for VNA-based sounding over limited durations beyond which their phase coherence degrades. Phase stability, even with hard-wired synchronization connections, becomes increasingly difficult as terahertz frequencies are approached, due to the relatively small wavelengths.
The sliding-correlator method is a time-domain channel measurement where, in the simplest method, a short electromagnetic pulse is transmitted in the time domain. The receiver can measure the different arrival times of multipath components of this pulse to determine the CIR. However, since synchronization is often not employed, this method can suffer the 3 dB penalty of non-coherent detection. It also requires a high peak-to-average-power (PAPR) ratio, and can have in-band interference and poor dynamic range [13]. Instead of transmitting a single impulse, it is also possible to transmit a carefully-timed train of impulses, also known as a direct sequence spread spectrum (DSSS) signal. The DSSS signal can be properly processed at the receiver to determine the CIR, and the DSSS signals also provide rejection of in-band interference components as well as improvements in dynamic range [13,15,21]. However, DSSS channel sounding performs best only for narrowband channels. As the required bandwidth increases, the sampling rate of the ADC must increase as well. This presents a possibly significant challenge for next-generation terahertz systems, where the goal is to utilize very wide bandwidth for high data rates. One solution to improve bandwidth is to introduce a swept time-delay in the sliding correlator, where DSSS signals are used with temporal-dilation to compress the wide bandwidth of the measured CIR [14,15]. Temporal dilation approximates a true correlator receiver by multiplying the received signal with a “slow” copy of the transmitted signal and then filtering the product [15]. This process results in a time-dilated CIR that is narrowband filtered and digitized [12,13]. The sliding correlator can be used to measure multipath components, time delays, and received power in a wide range of scenarios and can even be used to measure antenna patterns [13]. The bandwidth compression of swept-time delay channel sounding allows a more economical means of recording and processing CIR measurements.
The sliding correlator channel sounder is the most popular implementation of swept time-delay channel sounding and has been used extensively for wideband wireless channel measurements and characterizations. It is also a natural solution for sounding the massive spectrum occupied by large bandwidth terahertz communication systems [15]. However, when time-dilation is employed, the sliding correlator sounding method requires a more complex system design, additional hardware, and a longer acquisition period than direct RF pulse sounders. Nevertheless, it is still much faster than VNA-based sounders, and can still record several hundred CIRs per second (depending on the selected slide factor) [13]. A recent example [12] of the SC method was implemented at 300 GHz, offering sounding of dynamic channels with a 444 ns measurement window, with 108.5 ps (3.25 cm) resolution. It also features up to 17,590 CIR/s measurements and can distinguish Doppler shifts of up to 8.8 kHz (equivalent max speed of 31.7 km/h). However, it requires a hard-wire synchronization signal, and the cyclic recording method removes absolute distance information. While this is an excellent step forward, much work remains in SC terahertz channel sounding.
What is apparent from the discussion is that channel sounding in the terahertz is currently limited by phase synchronization challenges. This is true for both VNA and SC implementations. Some of the proposed channel sounders do not utilize synchronization and therefore cannot measure absolute delays [13]. That does not totally eliminate their usefulness. It just means they can measure only delays relative to the strongest or first arriving multipath component. For relatively short links, synchronization is generally not a problem since hard-wired connections between the Tx and Rx are acceptable. A synchronization cable is used as a phase reference for channel sounding methods only to enable measurements of absolute timing between transmitted and received signals. The channel itself is wireless. In many practical communication scenarios, no cable can be used for synchronization. References [12,13,14,15,16,17,18,19,20,21] are also available for the interested reader to learn more detail about the terahertz channel sounding methods. Synchronized, high-precision Rb- or Cs-based clocks also suffice for brief intervals. So long as measurements do not require too much time, separate Tx- and Rx-connected clocks will maintain synchronization sufficient for absolute delay measurements. However, as terahertz links go to hundreds of meters or even kilometers, it becomes increasingly difficult to maintain sufficient synchronization between the clocks. At the same time, the high frequencies in terahertz signals only become more prone to small phase errors. Hard-wired solutions are not the answer, particularly for mobile testing platforms, and Rb- and Cs-based clocks, though separable, are not precise enough for long-duration measurements at large distances. Therefore, the terahertz channel remains a challenge. While it is extremely well-understood in some regards, it is not well-understood in many dynamic or NLOS situations, and arguably is not even thoroughly measurable yet.

3. Terahertz Devices

The terahertz research world has commonly referred to the “THz-gap”, when discussing the relative absence of technologies suitable for commercializing terahertz wave devices and systems, as compared to the neighboring microwave and photonic bands. Researchers continue to search for ways to fill this THz-gap, ranging from new materials studies (e.g., artificial materials [39,40], metamaterials [41,42,43,44,45]), to new photonic and electronic devices for generating, detecting, and manipulating terahertz waves.
From the perspective of next-generation terahertz communications, it currently appears that purely photonic sources and detectors will not be the terahertz technology of choice. To justify this statement, we describe some modern photonic-based terahertz sources. These include quantum cascade lasers (QCL) [46], nonlinear optical-mixing sources [47,48], and ultrafast laser-driven pulsed sources and detectors [48,49]. The form factors and operational principles of these vary so widely that one-to-one comparisons in performance are very challenging. For example, terahertz QCLs can achieve admirable output powers (>100 mW [46]), but only at cryogenic temperatures, whereas mid-infrared QCLs, used in conjunction with nonlinear crystals can make microwatts of tunable continuous wave (CW) terahertz (1-5 THz) at room temperature [46]. On the other hand, pulsed nonlinear-mixing sources are completely different, having no CW mode at all, and thus no tunability, and rely on very high optical intensities to generate terahertz pulse streams with low average power (microwatts) [48]. All of these sources offer impressive performance in their own ways, but none so far are easily integrated into larger digital electronic systems, which is arguably their biggest downfall for communication systems. In most cases, they suffer from other debilitating physical requirements for operation. For example, ultrafast pulsed sources are generally far too bulky ( > 5000 cm 3 ) or inefficient ( < 10 5 efficiency) to implement in mobile devices and QCLs require cryogenic cooling or complex optical tuning schemes.
One alternative is electronic-based terahertz sources, detectors, and mixers. Typical communication systems are composed of a front-end and a baseband circuit. Front-end circuits are responsible for up- and down-conversion of baseband signals to and from the carrier frequency. Front-end research therefore address both terahertz sources and detectors. To accomplish the mission of achieving multiple fold improvements in data-rates, terahertz communication systems will require both wideband front-ends at the carrier frequency and high-speed baseband circuits. Before beginning a discussion of these technologies we present Table 1 that summarizes some of the forefront technologies and their performance metrics.
Complementary metal-oxide-semiconductor (CMOS) circuits are increasingly becoming a legitimate and competitive approach for implementing terahertz communication technologies up to about 300 GHz [61,62,63,64], with the potential benefits of low cost and easy integrability into modern digital electronics. This is particularly true for mobile devices. One metric of interest in next-generation wireless is efficiency, an obvious necessity for battery-driven, mobile devices. Although evaluating the energy efficiency of wireless systems is very complicated, one can gauge the achievable energy efficiency in baseband circuits by examining that of wireline communication links. As of 2018, wireline communication transceiver efficiency is reaching to about 1 pJ/bit [65] while supporting about 100 Gbps data rate. Note that we adopt the Joule per bit definition of efficiency, since this is the most fundamental figure of merit for digital communication systems and is comparable in diverse system types. This indicates that it should be possible to implement high-speed baseband circuits for terahertz communications with reasonable energy efficiency. Fortunately, the baseband circuits directly benefit from continuous efforts to scale CMOS devices for high speed and low-power operation. For instance, the performance and energy efficiency of analog to digital converters (ADC) has been continuously improved [66]. While baseband circuits certainly need wide bandwidth to retain the benefit of terahertz carriers, they do not need to operate themselves at terahertz frequencies. This relaxes some of the difficult electronics constraints that terahertz waves impose.
Unfortunately, front-end circuits do not always gain performance from CMOS scaling. Rather, the lower supply voltage and the pronounced interconnect parasitics of scaled CMOS processes deteriorate the front-end performance. The frequency where the maximum achievable power gain becomes unity, f MAX of CMOS devices currently peaks at 350 GHz [67,68]. This means that it is almost impossible to develop basic building blocks for terahertz front-ends, such as amplifiers and oscillators. However, other integrated circuit (IC) technologies can be considered as alternatives, and it is not uncommon to use multiple technologies to build communication devices. As a matter of fact, mobile handsets, which have very limited circuit-board area and power budget, employ compound semiconductor and micro-electro-mechanical components in their frontends to meet performance targets [69,70,71]. Indium-phosphide (InP) technologies offer the highest f MAX reaching beyond 1 THz [64,72]. Indeed, a 50-Gbps QPSK transceiver has been demonstrated using InP technology [51]. However, its yield and manufacturing capacity have not been proven in commercial large-volume applications yet. Gallium-nitride (GaN) technology is also promising for infrastructure thanks to its inherent high-power handling and generation capability. A 2 W power amplifier IC and a 37 W module employing the IC was demonstrated up to 100 GHz using a 0.15 μ m GaN process [52,73]. Its manufacturability is expected to be improved as GaN technologies are being adopted into 5G infrastructure [74]. Finally, silicon germanium (SiGe) technologies can be considered for mobile handsets. The f MAX of SiGe has reached 700 GHz and, importantly, it can support integration with CMOS and large-volume manufacturing [75,76]. Several transceivers beyond 100 GHz have now been demonstrated using SiGe technologies [65,67].
Some of these challenges can be overcome by using radically different front-end architectures. In radio-astronomy, where terahertz systems have been actively utilized for decades, passive mixers and harmonic frequency multipliers are used to detect extremely weak signals from distant objects [77]. As passive mixers and harmonic multipliers rely on device nonlinearity, their performance is not strictly bound to f MAX . Instead, the cutoff frequency ( f T ), which is the first pole frequency of a nonlinear device, has a more significant correlation with the device performance. Even in CMOS processes, nonlinear passive devices with f T close to 1 THz can be realized [78,79]. Impressively, a 16 Gbps QPSK transceiver link at 240 GHz [63,64], a 105 Gbps QAM transmitter front-end [60], and a 30-Gbps QPSK transmitter [61] have all been demonstrated using passive mixers and multipliers using a 65 nm CMOS process. However, their system-level energy efficiency and practical long-range operation with full system integration including baseband circuits has not yet been investigated.
Finally, we mention a growing area of hybrid photonic-electronic devices [80,81,82,83], in particular sources and detectors. This technology approach is quite relevant since its most commonly reported application is wireless communication [84,85], although terahertz sensing utilizes the same basic devices [86]. Both terahertz transmitters [81,85,87,88] and receivers [89,90] have been implemented that leverage the high maturity of optical fiber technology, but transmitters in particular have received more attention [91]. In this approach, two slightly detuned, frequency-stabilized and phase-locked semiconductor lasers ( λ = 1.55 μ m InP/InGaAs lasers are common) or an optical frequency comb generator [84] typically act as local oscillators, both illuminating an electronic photodiode. These generate a beat frequency in the terahertz regime, which through nonlinear effects in the photodiode produce a terahertz signal with a relatively narrow bandwidth of about 1–2 MHz, corresponding roughly to the linewidth of the lasers. The lasers are typically highly tunable, well beyond 1 THz [85], meaning the beat frequency and corresponding terahertz carrier can be tuned over a very wide range, from zero to over 1 THz. Modulation of the terahertz signal often occurs via modulation of one or both of the lasers with commercially-available electro-optic modulators, for example. The uni-travelling-carrier photodiode (UTC-PD) [87,88] has been employed extensively as the photodiode of choice for terahertz generation; it supports fast modulation with high current density which is advantageous for generating terahertz radiation. With UTC-PDs, terahertz output powers of 2.7 dBm at 0.35 THz are currently possible [87,92], making wireless communication systems supporting 100 Gbps [93] over multiple tens of meters possible [84,91].
The advantages and disadvantages of the hybrid photonic-electronic approach are clearly important. For advantages, they are based on mature fiber-optics technology. They are widely and easily tunable, being based on the mature tunability of the mixed lasers. They exhibit admirable output powers, particularly in the sub-0.5 THz regime, though not quite as good as all-electronic approaches. Data modulation is fast, again leveraging mature technology from optical fiber systems. Finally, most of the system parts are already commercially-available (e.g., lasers, UTC-PDs, modulators, etc.) in some form. As disadvantages for next-generation wireless, photomixer based devices also require laser stabilization and possibly synchronization between transmitter and receiver for real-time demodulation. Indeed, most demonstrations still use all-electronic terahertz receivers. While dual semiconductor lasers can be implemented in impressively small packages [85], the overall systems are still far from suitable for modern mobile devices. Neither are such systems highly integrable into modern electronics. Nevertheless, for fixed point links, the hybrid photonic-electronic approach may prove to be a highly viable architecture.
All of this prompts the question of how to move forward with terahertz devices. To date, there has been a concerted effort to leverage mainstream integrated circuit technologies in terahertz communication front-ends (e.g., CMOS). Pushing the limits of these technologies and adopting new ideas from other disciplines have been major drivers of the research thus far, and the result has been a steady improvement in device performance in the 0.1 to 1 THz regime. However, the next step may be a holistic optimization across multiple layers of the entire system, which should open another dimension of engineering to improve the front-end performance. As an example, ongoing THz antenna development, driven by new concepts in metamaterial-inspired antennas, could change the interface between the antenna system and the front-end, which may call for a completely new front-end design. Furthermore, as the baseband data rate increases, physical and logical partitioning between front-end and baseband circuits could need to be revisited. Last but not least, high-frequency, high-speed packaging and interconnects will introduce other design challenges [94]. In other words, in order to get to viable and robust terahertz wireless communications, it probably will not be sufficient to solve only isolated problems. It appears that wholesale changes in electronic systems or fundamentally new hybrid photonic-electronic architectures will be required and the exact nature of these changes is not clear yet.

4. Space-Based Terahertz Systems

Terahertz research has expanded recently to more dedicated efforts in extreme-environment systems such as satellite and space-based electronics [95,96,97,98]. For space-based applications terahertz has several advantages over conventional RF communications: (1) higher data rate due to wider channel bandwidth, (2) improved security from narrower beam widths and higher attenuation in the atmosphere, and (3) compact size/volume (e.g., smaller antenna size for same directivity) [99], which may also reduce launching costs. In comparison to laser-based communication systems, terahertz systems can also have a much more reliable link establishment between terrestrial stations and satellites under varying whether conditions [100,101].
Similar to most other performance-driven applications, space-based terahertz communications typically require maximized performance metrics [102,103]. Specifications such as antenna gain, component bandwidth, linearity, noise, data rate, and reliability are critically important in space applications. However, the same arguments could be made for terrestrial wireless systems, albeit with perhaps slightly less rigor. Therefore, space-based terahertz systems become an excellent development platform even for terrestrial wireless links. Indeed, given the relatively immature state of terahertz technology (compared to RF), one might even expect space-based applications to be the harbinger of future terrestrial ones. For this reason, they become an important topic in any discussion of future terahertz wireless systems.
We first consider size and weight and power (SWaP). The physical volume/weight of a satellite or a cubeSat are strictly fixed, and the entire terahertz communication system must meet these dimensional requirements. At the same time, low-power operation is also mandatory in space, due to limited availability of solar or radioisotope-based power, especially for deep-space exploration. For that reason, CMOS-based terahertz has again proven attractive [104]. Jet Propulsion Laboratory (JPL) has been the innovation leader in space-mission terahertz technology [104], and they have certainly not dismissed CMOS. However, research indicates that CMOS offers substantial SWaP benefits in only selected areas, such as frequency synthesizers [104]. For terahertz transmitters, CMOS simply does not compete well with InP and GaN, nor for receivers, where the low noise figures of GaAs or InP dominate. Nevertheless, research continues to push this envelope, especially as low-cost cubeSats become increasingly important. It is therefore conceivable that CMOS-infused terahertz technologies that are suitable for space would likewise meet SWaP requirements of terrestrial systems (e.g., mobile handsets).
While channel interference is not generally the first concern of any present-day terahertz system (many such bands are not even yet allocated), terahertz waves are used for various space-based geophysical sensing platforms (e.g., radiometers). The widespread use of terahertz for wireless communication then poses a threat to disturb the measurements from these systems. It has been anticipated that such a threat could be anticipated and compensated by careful consideration of wireless communication systems in early design phase [105]. Similar studies would translate to interference between terrestrial links, although such work would also be covered by general channel studies.
Satellite-to-satellite wireless communications has long been recognized as a niche market for terahertz waves since there is so little intervening atmosphere to attenuate the waves. In addition, relatively small antennas with good directivity may be employed due to the short terahertz wavelengths. With better directivity comes much greater potential for beam-pointing errors and harmful vibration effects, both of which may arise from satellite motion. Such research has begun and showed that both beam-pointing and vibration can significantly affect signal-to-noise ratio, and thus achievable data rates [106]. Again, these are important issues that will also appear in terrestrial or ground-to-space links, which will compel further necessary research.
Finally, we mention the hostile environmental conditions in space. Space-based communication electronics should have protection circuitry and layers to reduce performance degradation associated with exposure to long-term and dynamic space radiation. In addition, extremely cold, hot, and/or wide temperature ranges significantly change the system performance due to variations in transconductance, linearity, and high-frequency parameters of active devices. Regarding radiation effects, one of the key damage mechanisms is total ionizing dose (TID), which leads to long-term degradation of device performance such as leakage increase and gain/bandwidth reduction [107]. Changes in transistor parameters include threshold voltage, off-state current, noise, transconductance, and parasitic components. In addition, resulting degradations in high-frequency metrics such as scattering parameters will affect circuit and system performance. Radiation effects in SiGe-based devices serve as good representative examples since they can reach terahertz operational speeds ( f MAX > 700 GHz). One study result is shown in Figure 3, which shows orders of magnitude increase in base currents for a 90 nm SiGe HBT (heterojunction bipolar transistor) as a function of total dose. While this is a microwave device, it is clear that electronics operating in intense radiation environment should provide acceptable performance for a given total dose requirement. Another mechanism in radiation effects is single-event effects (SEE), which occur when high energy heavy ions or particles strike devices, causing abrupt voltage and/or current transients at device terminals [107]. An example of dynamic interference in a low-noise amplifier generated by pulsed-laser SEE testing is shown in Figure 4. This example also illustrates that devices can be designed differently to significantly reduce susceptibility to SEEs.
While radiation-effects are mostly unique challenges to space-based systems, wide temperature variations are still possible even in terrestrial wireless links. Likewise, high peak voltages or currents can degrade device reliability, leading to leakage increases, performance degradation, or even device failure. These challenges are also not limited to the space environment but can affect all terahertz devices pushed to their maximum performance capabilities.
The field of space-based terahertz communication has many open questions for characterization, design, and implementation. Breakthroughs in all aspects of performance, efficiency, channel allocation, beam directivity and small form factor in devices, circuits, and system level improvements have been in demand for the maturity of these technologies [104]. To support stable and robust operations in extreme environments, radiation effects and cryogenic/high temperature performance should be carefully investigated, and then, relevant protection/compensation techniques can be implemented [110]. While the radiation effects of conventional RF or optical components and systems have been studied in the literature [111,112,113], relatively little has been analyzed in the terahertz domain, which represents a future research opportunity. Importantly, many such studies would translate to better understood requirements in terrestrial wireless links.

5. Forward View

In this review, we have only scratched the surface of the numerous research efforts underway toward terahertz wireless communications. While many such efforts have been helpful in advancing the state-of-the-art, relatively few include the implementation and testing of complete terahertz communication systems (see also [114] for a list of 17 such systems). This appears to be an increasingly important direction forward for several reasons. As mentioned earlier, channel sounding is still immature in the terahertz, but requires realistic signals and situations (e.g., mobile receivers, full-speed modulators) to quantify. Terahertz devices for wireless communications can be improved in isolation, but a holistic design approach may yield much more dramatic improvements overall. Furthermore, complete, space-based terahertz systems are already being researched, or implemented, offering opportunities to borrow and translate technology to terrestrial systems. As 5G fully deploys, research into terahertz communication will increasingly demand fully operational communication systems. These are still quite rare, and well-developed ones are still non-existent. Nevertheless, the number of applications that would benefit from high-speed terahertz wireless continues to increase [114]. This provides ample motivation to someday make terahertz the next generation (6G?) of wireless communication technology on the verge of full deployment.

Author Contributions

All of the authors contributed equally to the conceptualization, investigation, original draft preparation, review, and editing of the manuscript.

Funding

This research received no external funding.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
5Gfifth generation
6Gsixth generation
IoTInternet of Things
MIMOmulti-in, multi-out
ITUInternational Telecommunication Union
IMTInternational Mobile Telecommunications
RFradio frequency
Txtransmitter
Rxreceiver
HITRANhigh-resolution transmission molecular absorption database
NLOSnon-line-of-sight
LOSline-of-sight
BERbit error rate
CIRchannel impulse response
THz-TDSterahertz time-domain spectroscopy
VNAvector network analyzer
SCsliding correlator
Rbrubidium
Cscesium
PAPRpeak-to-average power ratio
DSSSdirect sequence spread spectrum
ADCanalog-to-digital converter
QCLquantum cascade laser
CMOScomplementary metal-oxide-semiconductor
PApower amplifier
HEMThigh electron mobility transistor
HBThetrojunction bipolar transistor
FETfield effect transistor
SBDSchottky barrier diode
GaNgallium nitride
GaAsgallium arsenide
InPindium phosphide
GaNgallium nitride
ICintegrated circuit
SiGesilicon germanium
f MAX frequency of transistor unity power gain
f T frequency of transistor cutoff
QPSKquadrature phase shift keying
QAMquadrature amplitude modulation
UTC-PDuni-travelling-carrier photodiode
SWaPsize, weight, and power
JPLJet Propulsion Laboratory
TIDtotal ionizing dose
SEEsingle-event effect

References

  1. Shafi, M.; Molisch, A.F.; Smith, P.J.; Haustein, T.; Zhu, P.; De Silva, P.; Tufvesson, F.; Benjebbour, A.; Wunder, G. 5G: A Tutorial Overview of Standards, Trials, Challenges, Deployment, and Practice. IEEE J. Sel. Areas Commun. 2017, 35, 1201–1221. [Google Scholar] [CrossRef]
  2. Yu, H.; Lee, H.; Jeon, H. What is 5G? Emerging 5G Mobile Services and Network Requirements. Sustainability 2017, 9, 1848. [Google Scholar] [CrossRef]
  3. Byung-yeul, B. Korea launches 5G Service Today. Available online: https://www.koreatimes.co.kr/www/tech/2018/12/133_259642.html (accessed on 24 March 2019).
  4. Segan, S. What Is 5G? Available online: https://www.pcmag.com/article/345387/what-is-5g (accessed on 24 March 2019).
  5. Fact Sheet: Spectrum Frontiers Rules Identify, Open up Vast amounts of New High-Band Spectrum for Next Generation (5G) Wireless Broadband. Available online: http://transition.fcc.gov/Daily_Releases/Daily_Business/2016/db0714/DOC-340310A1.pdf (accessed on 24 March 2019).
  6. Nagatsuma, T. Terahertz communications: Past, present and future. In Proceedings of the 2015 40th International Conference on Infrared, Millimeter, and Terahertz waves (IRMMW-THz), Hong Kong, China, 23–28 August 2015; pp. 1–2. [Google Scholar]
  7. Crowe, T.W.; Deal, W.R.; Schröter, M.; Tzuang, C.K.C.; Wu, K. Terahertz RF Electronics and System Integration. Proc. IEEE 2017, 105, 985–989. [Google Scholar] [CrossRef]
  8. Siles, J.V.; Kawamura, J.; Hayton, D.; Hoh, J.; Croppi, C.; Mehdi, I. An Ultra-Compact 520–600 GHz/1100–1200 GHz Receiver with <10 W Power Consumption for High-Spectral Resolution Spectroscopy from Small-Sat Platforms. In Proceedings of the 43rd International Conference on Infrared, Millimeter, and Terahertz Waves, Nagoya, Japan, 9–14 September 2018; pp. 1–2. [Google Scholar]
  9. Suen, J.Y.; Fang, M.T.; Lubin, P.M. Global Distribution of Water Vapor and Cloud Cover–Sites for High-Performance THz Applications. IEEE Trans. Terahertz Sci. Technol. 2014, 4, 86–100. [Google Scholar] [CrossRef]
  10. You, R.; Lu, Z.; Hou, Q.; Jiang, T. Study of Pollution Air Monitoring System Based on Space-borne Terahertz Radiometer. In Proceedings of the 10th UK-Europe Workshop on Millimetre Waves and Terahertz Technologies, Liverpool, UK, 11–13 September 2017; pp. 1–4. [Google Scholar]
  11. Zhu, Z.; Dong, S.; Wang, Y.; Dong, Y. The way of THz signal generation and THz detection techniques for remote sensing. In Proceedings of the 2011 International Conference on Electronics, Communications and Control (ICECC), Ningbo, China, 9–11 September 2011; pp. 4601–4604. [Google Scholar]
  12. Rey, S.; Eckhardt, J.M.; Peng, B.; Guan, K.; Kürner, T. Channel Sounding Techniques for Applications in THz Communications—A first correlation based channel sounder for ultra-wideband dynamic channel measurements at 300 GHz. In Proceedings of the 2017 9th International Congress on Ultra Modern Telecommunications and Control Systems and Workshops (ICUMT), Munich, Germany, 6–8 November 2017; pp. 449–453. [Google Scholar]
  13. MacCartney, G.R.; Rappaport, T.S. A Flexible Millimeter-Wave Channel Sounder With Absolute Timing. IEEE J. Sel. Areas Commun. 2017, 35, 1402–1418. [Google Scholar] [CrossRef]
  14. Pirkl, R.J.; Durgin, G.D. Optimal Sliding Correlator Channel Sounder Design. IEEE Trans. Wireless Commun. 2008, 7, 3488–3497. [Google Scholar] [CrossRef]
  15. Pirkl, R.J. A Sliding Correlator Channel Sounder for Ultra-wideband Measurements. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2007. [Google Scholar]
  16. Xing, Y.; Rappaport, T.S. Propagation Measurement System and Approach at 140 GHz-Moving to 6G and Above 100 GHz. In Proceedings of the 2018 IEEE Global Communications Conference (GLOBECOM), Abu Dhabi, United Arab Emirates, 9–13 December 2018; pp. 1–6. [Google Scholar]
  17. Ben-Dor, E.; Rappaport, T.S.; Qiao, Y.; Lauffenburger, S.J. Millimeter-Wave 60 GHz Outdoor and Vehicle AOA Propagation Measurements Using a Broadband Channel Sounder. In Proceedings of the 2011 IEEE Global Telecommunications Conference, Houston, TX, USA, 5–9 December 2011; pp. 1–6. [Google Scholar]
  18. Cheng, C.L.; Kim, S.; Zajić, A. Comparison of path loss models for indoor 30 GHz, 140 GHz, and 300 GHz channels. In Proceedings of the 2017 11th European Conference on Antennas and Propagation (EUCAP), Paris, France, 19–24 March 2017; pp. 716–720. [Google Scholar]
  19. Khalid, N.; Akan, O.B. Wideband THz communication channel measurements for 5G indoor wireless networks. In Proceedings of the 2016 IEEE International Conference on Communications (ICC), Kuala Lumpur, Malaysia, 22–27 May 2016; pp. 1–6. [Google Scholar]
  20. Priebe, S.; Kannicht, M.; Jacob, M.; Kürner, T. Ultra broadband indoor channel measurements and calibrated ray tracing propagation modeling at THz frequencies. J. Commun. Netw. 2013, 15, 547–558. [Google Scholar] [CrossRef]
  21. Priebe, S.; Jastrow, C.; Jacob, M.; Kleine-Ostmann, T.; Schrader, T.; Kürner, T. Channel and Propagation Measurements at 300 GHz. IEEE Trans. Antennas Propag. 2011, 59, 1688–1698. [Google Scholar] [CrossRef]
  22. Jornet, J.M.; Akyildiz, I.F. Channel Modeling and Capacity Analysis for Electromagnetic Wireless Nanonetworks in the Terahertz Band. IEEE Trans. Wirel. Commun. 2011, 10, 3211–3221. [Google Scholar] [CrossRef]
  23. Barros, M.T.; Mullins, R.; Balasubramaniam, S. Integrated Terahertz Communication With Reflectors for 5G Small-Cell Networks. IEEE Trans. Veh. Technol. 2017, 66, 5647–5657. [Google Scholar] [CrossRef]
  24. Shin, J.Y.; Sirer, E.G.; Weatherspoon, H.; Kirovski, D. On the feasibility of completely wireless datacenters. In Proceedings of the 2012 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), Austin, TX, USA, 29–30 October 2019; pp. 3–14. [Google Scholar]
  25. Yang, Y.; Shutler, A.; Grischkowsky, D. Measurement of the transmission of the atmosphere from 0.2 to 2 THz. Opt. Express 2011, 19, 8830–8838. [Google Scholar] [CrossRef] [PubMed]
  26. Yang, Y.; Mandehgar, M.; Grischkowsky, D. Determination of the water vapor continuum absorption by THz-TDS and Molecular Response Theory. Opt. Express 2014, 22, 4388–4403. [Google Scholar] [CrossRef] [PubMed]
  27. Gordon, I.E.; Rothman, L.S.; Hill, C.; Kochanov, R.V.; Tan, Y.; Bernath, P.F.; Birk, M.; Boudon, V.; Campargue, A.; Chance, K.; et al. The HITRAN2016 molecular spectroscopic database. J. Quant. Spectrosc. Radiat. Transf. 2017, 203, 3–69. [Google Scholar] [CrossRef]
  28. O’Hara, J.F.; Grischkowsky, D.R. Comment on the Veracity of the ITU-R Recommendation for Atmospheric Attenuation at Terahertz Frequencies. IEEE Trans. Terahertz Sci. Technol. 2018, 8, 372–375. [Google Scholar] [CrossRef]
  29. Piesiewicz, R.; Jansen, C.; Mittleman, D.; Kleine-Ostmann, T.; Koch, M.; Kürner, T. Scattering Analysis for the Modeling of THz Communication Systems. IEEE Trans. Antennas Propag. 2007, 55, 3002–3009. [Google Scholar] [CrossRef]
  30. Ma, J.; Shrestha, R.; Moeller, L.; Mittleman, D.M. Invited Article: Channel performance for indoor and outdoor terahertz wireless links. APL Photonics 2018, 3, 051601. [Google Scholar] [CrossRef] [Green Version]
  31. Goldsmith, A. Wireless Communications; Cambridge University Press: New York, NY, USA, 2005. [Google Scholar]
  32. Grischkowsky, D.; Yang, Y.; Mandehgar, M. Zero-frequency refractivity of water vapor, comparison of Debye and van-Vleck Weisskopf theory. Opt. Express 2013, 21, 18899–18908. [Google Scholar] [CrossRef] [Green Version]
  33. Yang, Y.; Mandehgar, M.; Grischkowsky, D.R. Broadband THz Signals Propagate Through Dense Fog. IEEE Photonics Technol. Lett. 2015, 27, 383–386. [Google Scholar] [CrossRef]
  34. Mandehgar, M.; Yang, Y.; Grischkowsky, D. Atmosphere characterization for simulation of the two optimal wireless terahertz digital communication links. Opt. Lett. 2013, 38, 3437–3440. [Google Scholar] [CrossRef]
  35. Yang, Y.; Mandehgar, M.; Grischkowsky, D.R. Understanding THz Pulse Propagation in the Atmosphere. IEEE Trans. Terahertz Sci. Technol. 2012, 2, 406–415. [Google Scholar] [CrossRef]
  36. Federici, J.F.; Su, K.; Moeller, L.; Barat, R.B. Experimental comparison of terahertz and infrared data signal attenuation in dust clouds. JOSA A 2012, 29, 2360–2366. [Google Scholar]
  37. Federici, J.F.; Su, K.; Moeller, L.; Barat, R.B. Experimental comparison of performance degradation from terahertz and infrared wireless links in fog. JOSA A 2012, 29, 179–184. [Google Scholar] [Green Version]
  38. Ma, J.; Vorrius, F.; Lamb, L.; Moeller, L.; Federici, J.F. Experimental Comparison of Terahertz and Infrared Signaling in Laboratory-Controlled Rain. J. Infrared Millim. Terahertz Waves 2015, 36, 856–865. [Google Scholar] [CrossRef]
  39. Mendis, R.; Nagai, M.; Zhang, W.; Mittleman, D.M. Artificial dielectric polarizing-beamsplitter and isolator for the terahertz region. Sci. Rep. 2017, 7, 5909. [Google Scholar] [CrossRef] [PubMed]
  40. Mendis, R.; Nagai, M.; Wang, Y.; Karl, N.; Mittleman, D.M. Terahertz Artificial Dielectric Lens. Sci. Rep. 2016, 6, 23023. [Google Scholar] [CrossRef] [PubMed]
  41. Holloway, C.L.; Dienstfrey, A.; Kuester, E.F.; O’Hara, J.F.; Azad, A.K.; Taylor, A.J. A discussion on the interpretation and characterization of metafilms/metasurfaces: The two-dimensional equivalent of metamaterials. Metamaterials 2009, 3, 100–112. [Google Scholar] [CrossRef]
  42. Chen, H.T.; O’Hara, J.F.; Azad, A.K.; Taylor, A.J.; Averitt, R.D.; Shrekenhamer, D.B.; Padilla, W.J. Experimental demonstration of frequency-agile terahertz metamaterials. Nat. Photonics 2008, 2, 295–298. [Google Scholar] [CrossRef]
  43. Li, Q.; Tian, Z.; Zhang, X.; Singh, R.; Du, L.; Gu, J.; Han, J.; Zhang, W. Active graphene–silicon hybrid diode for terahertz waves. Nat. Commun. 2015, 6, 7082. [Google Scholar] [CrossRef]
  44. Zhou, F.; Cao, W.; Dong, B.; Reissman, T.; Zhang, W.; Sun, C. Additive Manufacturing of a 3D Terahertz Gradient-Refractive Index Lens. Adv. Opt. Mater. 2016, 4, 1034–1040. [Google Scholar] [CrossRef]
  45. Yu, Q.; Gu, J.; Yang, Q.; Zhang, Y.; Li, Y.; Tian, Z.; Ouyang, C.; Han, J.; O’Hara, J.F.; Zhang, W. All-Dielectric Meta-lens Designed for Photoconductive Terahertz Antennas. IEEE Photonics J. 2017, 9, 1–9. [Google Scholar] [CrossRef]
  46. Liang, G.; Liu, T.; Wang, Q.J. Recent Developments of Terahertz Quantum Cascade Lasers. IEEE J. Sel. Top. Quantum Electron. 2017, 23, 1–18. [Google Scholar] [CrossRef]
  47. Stöhr, A.; Jäger, D. Photonic millimeter-wave and terahertz source technologies. In Proceedings of the 2006 International Topical Meeting on Microwave Photonics, Grenoble, France, 3–6 October 2006; pp. 1–4. [Google Scholar]
  48. Shumyatsky, P.; Alfano, R.R. Terahertz sources. J. Biomed. Opt. 2011, 16, 033001. [Google Scholar] [CrossRef] [PubMed]
  49. Hebling, J.; Yeh, K.L.; Hoffmann, M.C.; Nelson, K.A. High-Power THz Generation, THz Nonlinear Optics, and THz Nonlinear Spectroscopy. IEEE J. Sel. Top. Quantum Electron. 2008, 14, 345–353. [Google Scholar] [CrossRef]
  50. Leong, K.M.K.H.; Mei, X.; Yoshida, W.H.; Zamora, A.; Padilla, J.G.; Gorospe, B.S.; Nguyen, K.; Deal, W.R. 850 GHz Receiver and Transmitter Front-Ends Using InP HEMT. IEEE Trans. Terahertz Sci. Technol. 2017, 7, 466–475. [Google Scholar] [CrossRef]
  51. Song, H.J.; Kim, J.Y.; Ajito, K.; Kukutsu, N.; Yaita, M. 50-Gb/s Direct Conversion QPSK Modulator and Demodulator MMICs for Terahertz Communications at 300 GHz. IEEE Trans. Microw. Theory Tech. 2014, 62, 600–609. [Google Scholar] [CrossRef]
  52. El-Gabaly, A.M.; Stewart, D.; Saavedra, C.E. 2-W Broadband GaN Power-Amplifier RFIC Using the fT Doubling Technique and Digitally Assisted Distortion Cancellation. IEEE Trans. Microw. Theory Tech. 2013, 61, 525–532. [Google Scholar] [CrossRef]
  53. Sarmah, N.; Aufinger, K.; Lachner, R.; Pfieffer, U.R. A 200–225 GHz SiGe Power Amplifier with peak Psat of 9.6 dBm using wideband power combination. In Proceedings of the ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, Lausanne, Switzerland, 12–15 September 2016; pp. 193–196. [Google Scholar]
  54. Schmalz, K.; Borngraber, J.; Mao, Y.; Rucker, H.; Weber, R. A 245 GHz LNA in SiGe Technology. IEEE Microw. Wirel. Compon. Lett. 2012, 22, 533–535. [Google Scholar] [CrossRef]
  55. Rodríguez-Vázquez, P.; Grzyb, J.; Sarmah, N.; Heinemann, B.; Pfeiffer, U.R. Towards 100 Gbps: A Fully Electronic 90 Gbps One Meter Wireless Link at 230 GHz. In Proceedings of the 2018 48th European Microwave Conference (EuMC), Paris, France, 1–3 October 2019; pp. 1389–1392. [Google Scholar]
  56. Wang, Z.; Chiang, P.-Y.; Nazari, P.; Wang, C.-C.; Chen, Z.; Heydari, P. A CMOS 210-GHz Fundamental Transceiver with OOK Modulation. IEEE J. Solid-State Circuits 2014, 49, 564–580. [Google Scholar] [CrossRef]
  57. Jameson, S.; Halpern, E.; Socher, E. A 300 GHz wirelessly locked 2×3 array radiating 5.4 dBm with 5.1% DC-to-RF efficiency in 65 nm CMOS. In Proceedings of the 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 348–349. [Google Scholar]
  58. Varonen, M.; Safaripour, A.; Parveg, D.; Kangaslahti, P.; Gaier, T.; Hajimiri, A. 200-GHz CMOS amplifier with 9-dB noise figure for atmospheric remote sensing. Electron. Lett. 2016, 52, 369–371. [Google Scholar] [CrossRef]
  59. Zhong, Q.; Choi, W.; Miller, C.; Henderson, R. A 210-to-305 GHz CMOS receiver for rotational spectroscopy. In Proceedings of the 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 426–427. [Google Scholar]
  60. Takano, K.; Amakawa, S.; Katayama, K.; Hara, S.; Dong, R.; Kasamatsu, A.; Hosako, I.; Mizuno, K.; Takahashi, K.; Yoshida, T.; et al. A 105Gb/s 300GHz CMOS transmitter. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 5–9 February 2017; pp. 308–309. [Google Scholar]
  61. Zhong, Q.; Chen, Z.; Sharma, N.; Kshattry, S.; Choi, W.; Kenneth, K.O. 300-GHz CMOS QPSK transmitter for 30-Gbps dielectric waveguide communication. In Proceedings of the 2018 IEEE Custom Integrated Circuits Conference (CICC), San Diego, CA, USA, 8–11 April 2018; pp. 1–4. [Google Scholar]
  62. Lee, S.; Dong, R.; Yoshida, T.; Amakawa, S.; Hara, S.; Kasamatsu, A.; Sato, J.; Fujishima, M. 9.5 An 80 Gb/s 300 GHz-Band Single-Chip CMOS Transceiver. In Proceedings of the 2019 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17–21 February 2019; pp. 170–172. [Google Scholar]
  63. Kang, S.; Thyagarajan, S.V.; Niknejad, A.M. A 240 GHz Fully Integrated Wideband QPSK Transmitter in 65 nm CMOS. IEEE J. Solid-State Circuits 2015, 50, 2256–2267. [Google Scholar] [CrossRef]
  64. Thyagarajan, S.V.; Kang, S.; Niknejad, A.M. A 240 GHz Fully Integrated Wideband QPSK Receiver in 65 nm CMOS. IEEE J. Solid-State Circuits 2015, 50, 2268–2280. [Google Scholar] [CrossRef]
  65. Daly, D.C.; Fujino, L.C.; Smith, K.C. Through the Looking Glass—The 2018 Edition: Trends in Solid-State Circuits from the 65th ISSCC. IEEE J. Solid-State Circuits 2018, 10, 30–46. [Google Scholar] [CrossRef]
  66. Murmann, B. ADC Performance Survey 19972018 (ISSCC & VLSI Symposium). Available online: http://web.stanford.edu/~murmann/adcsurvey.html (accessed on 24 March 2019).
  67. Schmid, R.L.; Ulusoy, A.Ç.; Zeinolabedinzadeh, S.; Cressler, J.D. A Comparison of the Degradation in RF Performance Due to Device Interconnects in Advanced SiGe HBT and CMOS Technologies. IEEE Trans. Electron Devices 2015, 62, 1803–1810. [Google Scholar] [CrossRef]
  68. Yau, K.; Dacquay, E.; Sarkas, I.; Voinigescu, S.P. Device and IC Characterization Above 100 GHz. IEEE Microwave Mag. 2012, 13, 30–54. [Google Scholar] [CrossRef]
  69. Balteanu, F. Linear Front End Module for 4G/5G LTE Advanced Applications. In Proceedings of the 2018 48th European Microwave Conference (EuMC), Madrid, Spain, 23–27 September 2018; pp. 251–254. [Google Scholar]
  70. Young, J.P. Mobile RF Front End Integration. In Proceedings of the CS MANTECH Conference, Arizona, AZ, USA, 18–21 May 2015; pp. 23–28. [Google Scholar]
  71. Hilbert, J.L. Tunable RF Components and Circuits, 1st ed.; Applications in Mobile Handsets; CRC Press: Boca Raton, FL, USA, 2018. [Google Scholar]
  72. Mei, X.; Yoshida, W.; Lange, M.; Lee, J.; Zhou, J.; Liu, P.-H.; Leong, K.; Zamora, A.; Padilla, J.; Sarkozy, S.; et al. First Demonstration of Amplification at 1 THz Using 25-nm InP High Electron Mobility Transistor Process. IEEE Electron Device Lett. 2015, 36, 327–329. [Google Scholar] [CrossRef]
  73. Schellenberg, J.; Tran, A.; Bui, L.; Cuevas, A.; Watkins, E. 37 W, 75–100 GHz GaN power amplifier. In Proceedings of the 2016 IEEE MTT-S International Microwave Symposium (IMS), San Francisco, CA, USA, 22–27 May 2016; pp. 1–4. [Google Scholar]
  74. Popovic, Z. Amping Up the PA for 5G: Efficient GaN Power Amplifiers with Dynamic Supplies. IEEE Microw. Mag. 2017, 18, 137–149. [Google Scholar] [CrossRef]
  75. Lachner, R. (Invited) Towards 0.7 Terahertz Silicon Germanium Heterojunction Bipolar Technology–The DOTSEVEN Project. ECS Trans. 2014, 64, 21–37. [Google Scholar] [CrossRef]
  76. Schröter, M.; Rosenbaum, T.; Chevalier, P.; Heinemann, B.; Voinigescu, S.P.; Preisler, E.; Bock, J.; Mukherjee, A. SiGe HBT Technology: Future Trends and TCAD-Based Roadmap. IEEE Proc. 2017, 105, 1068–1086. [Google Scholar] [CrossRef]
  77. Mehdi, I.; Siles, J.V.; Lee, C.; Schlecht, E. THz Diode Technology: Status, Prospects, and Applications. Proc. IEEE 2017, 105, 990–1007. [Google Scholar] [CrossRef]
  78. Sankaran, S. Schottky barrier diodes for millimeter wave detection in a foundry CMOS process. IEEE Electron Device Lett. 2005, 26, 492–494. [Google Scholar] [CrossRef]
  79. Ahmad, Z.; Kim, I.; Kenneth, K.O. 0.39-0.45THz symmetric MOS-varactor frequency tripler in 65-nm CMOS. In Proceedings of the 2015 IEEE Radio Frequency Integrated Circuits Symposium, Phoenix, AZ, USA, 17–19 May 2015; pp. 275–278. [Google Scholar]
  80. Sengupta, K.; Nagatsuma, T.; Mittleman, D.M. Terahertz integrated electronic and hybrid electronic-photonic systems. Nat. Electron. 2018, 1, 622–635. [Google Scholar]
  81. Nagatsuma, T.; Ducournau, G.; Renaud, C.C. Advances in terahertz communications accelerated by photonics. Nat. Photonics 2016, 10, 371–379. [Google Scholar] [CrossRef]
  82. Federici, J.; Moeller, L. Review of terahertz and subterahertz wireless communications. J. Appl. Phys. 2010, 107, 111101. [Google Scholar] [CrossRef]
  83. Yu, X.; Asif, R.; Piels, M.; Zibar, D.; Galili, M.; Morioka, T.; Jepsen, P.U.; Oxenløwe, L.K. 400-GHz Wireless Transmission of 60-Gb/s Nyquist-QPSK Signals Using UTC-PD and Heterodyne Mixer. IEEE Trans. Terahertz Sci. Technol. 2016, 6, 765–770. [Google Scholar] [CrossRef] [Green Version]
  84. Koenig, S.; Lopez-Diaz, D.; Antes, J.; Boes, F.; Henneberger, R.; Leuther, A.; Tessmann, A.; Schmogrow, R.; Hillerkuss, D.; Palmer, R.; et al. Wireless sub-THz communication system with high data rate. Nat. Photonics 2013, 7, 977–981. [Google Scholar] [CrossRef]
  85. Carpintero, G.; Hisatake, S.; de Felipe, D.; Guzman, R.; Nagatsuma, T.; Keil, N. Wireless Data Transmission at Terahertz Carrier Waves Generated from a Hybrid InP-Polymer Dual Tunable DBR Laser Photonic Integrated Circuit. Sci. Rep. 2019, 8, 3018. [Google Scholar] [CrossRef] [PubMed]
  86. Ito, H.; Nagatsuma, T.; Ishibashi, T. Uni-traveling-carrier photodiodes for high-speed detection and broadband sensing. In Proceedings of the SPIE Quantum Sensing and Nanophotonic Devices IV, San Jose, CA, USA, 20–25 January 2007. [Google Scholar] [CrossRef]
  87. Wakatsuki, A.; Muramoto, Y.; Ishibashi, T. Development of Terahertz-wave Photomixer Module Using a Uni-traveling-carrier Photodiode. NTT Tech. Rev. 2012, 10, 1–7. [Google Scholar]
  88. Ishibashi, T.; Shimizu, N.; Kodama, S.; Ito, H.; Nagatsuma, T.; Furuta, T. Uni-Traveling-Carrier Photodiodes. In Proceedings of the OSA TOPS on Ultrafast Electronics and Optoelectronics, Incline Village, NV, USA, 17 March 1997; pp. 83–87. [Google Scholar]
  89. Mohammad, A.W.; Shams, H.; Balakier, K.; Graham, C.; Natrella, M.; Seeds, A.J.; Renaud, C.C. 5 Gbps wireless transmission link with an optically pumped uni-traveling carrier photodiode mixer at the receiver. Opt. Express 2018, 26, 2884–2890. [Google Scholar] [CrossRef]
  90. Mohammad, A.W.; Shams, H.; Liu, C.-P.; Graham, C.; Natrella, M.; Seeds, A.J.; Renaud, C.C. 60-GHz Transmission Link Using Uni-Traveling Carrier Photodiodes at the Transmitter and the Receiver. J. Lightwave Technol. 2018, 36, 4507–4513. [Google Scholar] [CrossRef]
  91. Harter, T.; Ummethala, S.; Blaicher, M.; Muehlbrandt, S.; Wolf, S.; Weber, M.; Adib, M.M.H.; Kemal, J.N.; Merboldt, M.; Boes, F.; et al. Wireless THz link with optoelectronic transmitter and receiver. arXiv 2019, arXiv:1901.03140. [Google Scholar]
  92. Umezawa, T.; Kanno, A.; Akahane, K.; Matsumoto, A.; Yamamoto, N.; Kawanishi, T. Study of high power generation in UTC-PD at 110-210 GHz. In Proceedings of the SPIE OPTO, Terahertz, RF, Millimeter, and Submillimeter-Wave Technology and Applications XI, San Francisco, CA, USA, 27 January–1 February 2018. [Google Scholar] [CrossRef]
  93. Pang, X.; Jia, S.; Ozolins, O.; Yu, X.; Hu, H.; Marcon, L.; Guan, P.; Da Ros, F.; Popov, S.; Jacobsen, G.; et al. 260 Gbit/s photonic-wireless link in the THz band. In Proceedings of the 2016 IEEE Photonics Conference (IPC), Waikoloa, HI, USA, 2–6 October 2016; pp. 1–2. [Google Scholar]
  94. Song, H.-J. Packages for Terahertz Electronics. IEEE Proc. 2017, 105, 1121–1138. [Google Scholar] [CrossRef] [Green Version]
  95. Dickie, R.; Cahill, R.; Fusco, V.; Gamble, H.S.; Mitchell, N. THz Frequency Selective Surface Filters for Earth Observation Remote Sensing Instruments. IEEE Trans. Terahertz Sci. Technol. 2011, 1, 450–461. [Google Scholar] [CrossRef]
  96. Nagatsuma, T.; Kasamatsu, A. Terahertz Communications for Space Applications. In Proceedings of the 2018 Asia-Pacific Microwave Conference (APMC), Kyoto, Japan, 6–9 November 2018; pp. 73–75. [Google Scholar]
  97. Mehdi, I.; Siles, J.; Chen, C.P.; Jornet, J.M. THz Technology for Space Communications. In Proceedings of the 2018 Asia-Pacific Microwave Conference (APMC), Kyoto, Japan, 6–9 November 2018; pp. 76–78. [Google Scholar]
  98. Junxiao, M.; Xiaoliang, G.; Guixing, C.; Jifeng, W.; Min, W.; Yue, Z.; Haipeng, F. The implementation scheme and key technologies of the space-borne terahertz high-speed transmission system. In Proceedings of the 2017 13th IEEE International Conference on Electronic Measurement & Instruments (ICEMI), Yangzhou, China, 20–22 October 2017; pp. 571–575. [Google Scholar]
  99. Dong, S.W.; Zhu, Z.B.; Wang, Y. Advances of terahertz research and terahertz satellite communications. In Proceedings of the 2011 International Conference on Electronics, Communications and Control (ICECC), Ningbo, China, 9–11 September 2011; pp. 4122–4125. [Google Scholar]
  100. Shaik, K.S.; Hemmati, H. Wavelength selection criteria for laser communications. In Proceedings of the Photonics West ’95, San Jose, CA, USA, 1–28 February 1995; pp. 342–357. [Google Scholar]
  101. Federici, J.F.; Ma, J. Comparison of terahertz versus infrared free-space communications under identical weather conditions. In Proceedings of the 2014 39th International Conference on Infrared, Millimeter, and Terahertz waves (IRMMW-THz), Tucson, AZ, USA, 14–19 September 2014; pp. 1–3. [Google Scholar]
  102. Chattopadhyay, G. Terahertz Instruments for CubeSats. In Proceedings of the 2017 IEEE MTT-S International Microwave and RF Conference (IMaRC), Ahmedabad, India, 11–13 December 2017; pp. 1–5. [Google Scholar]
  103. Hwu, S.U.; deSilva, K.B.; Jih, C.T. Terahertz (THz) wireless systems for space applications. In Proceedings of the 2013 IEEE Sensors Applications Symposium Proceedings, Galveston, TX, USA, 19–21 February 2013; pp. 171–175. [Google Scholar]
  104. Tang, A.; Reck, T.; Chattopadhyay, G. CMOS system-on-chip techniques in millimeter-wave/THz instruments and communications for planetary exploration. IEEE Commun. Mag. 2016, 54, 176–182. [Google Scholar] [CrossRef]
  105. Priebe, S.; Britz, D.M.; Jacob, M.; Sarkozy, S.; Leong, K.M.K.H.; Logan, J.E.; Gorospe, B.S.; Kürner, T. Interference Investigations of Active Communications and Passive Earth Exploration Services in the THz Frequency Range. IEEE Trans. Terahertz Sci. Technol. 2012, 2, 525–537. [Google Scholar] [CrossRef]
  106. Ding, Y.; Shi, X.; Gao, S.; Wu, H.; Zhang, R. Analysis of tracking-pointing error and platform vibration effect in inter-satellite terahertz communication system. In Proceedings of the 2017 Chinese Automation Congress (CAC), Jinan, China, 20–22 October 2017; pp. 430–434. [Google Scholar]
  107. Cressler, J.D. Radiation Effects in SiGe Technology. IEEE Trans. Nucl. Sci. 2013, 60, 1992–2014. [Google Scholar] [CrossRef]
  108. Inanlou, F.; Lourenco, N.E.; Fleetwood, Z.E.; Song, I.; Howard, D.C.; Cardoso, A.; Zeinolabedinzadeh, S.; Zhang, E.; Zhang, C.X.; Paki-Amouzou, P.; et al. Impact of Total Ionizing Dose on a 4th Generation, 90 nm SiGe HBT Gaussian Pulse Generator. IEEE Trans. Nucl. Sci. 2014, 61, 3050–3054. [Google Scholar] [CrossRef]
  109. Song, I.; Cho, M.K.; Lourenco, N.E.; Fleetwood, Z.E.; Jung, S.; Roche, N.J.H.; Khachatrian, A.; Buchner, S.P.; McMorrow, D.; Paki, P.; et al. The Use of Inverse-Mode SiGe HBTs as Active Gain Stages in Low-Noise Amplifiers for the Mitigation of Single-Event Transients. IEEE Trans. Nucl. Sci. 2017, 64, 359–366. [Google Scholar] [CrossRef]
  110. Russell, D.; Weinreb, S. Low-Power Very Low-Noise Cryogenic SiGe IF Amplifiers for Terahertz Mixer Receivers. IEEE Trans. Microw. Theory Tech. 2012, 60, 1641–1648. [Google Scholar] [CrossRef]
  111. Song, I.; Cho, M.K.; Oakley, M.A.; Ildefonso, A.; Ju, I.; Buchner, S.P.; McMorrow, D.; Paki, P.; Cressler, J.D. On the Application of Inverse-Mode SiGe HBTs in RF Receivers for the Mitigation of Single-Event Transients. IEEE Trans. Nucl. Sci. 2017, 64, 1142–1150. [Google Scholar] [CrossRef]
  112. Liu, Y.; Zhao, S.; Zhao, J.; Li, X.; Dong, C.; Zheng, Y.; Yang, J. Performance Degradation of Typical 1550 nm Optical Intersatellite Communication Systems in Space Ionizing Radiation Environment. J. Lightwave Tech. 2017, 35, 3825–3835. [Google Scholar] [CrossRef]
  113. Dardaillon, R.; Thomas, J.; Myara, M.; Blin, S.; Pastouret, A.; Gonnet, C.; Signoret, P. Broadband Radiation-Resistant Erbium-Doped Optical Fibers for Space Applications. IEEE Trans. Nucl. Sci. 2017, 64, 1540–1548. [Google Scholar]
  114. Chen, Z.; Ma, X.; Zhang, B.; Zhang, Y.; Niu, Z.; Kuang, N.; Chen, W.; Li, L.; Li, S. A survey on terahertz communications. China Commun. 2019, 16, 1–35. [Google Scholar]
Figure 1. Terahertz wave atmospheric power attenuation for temperature T = 20 °C and water vapor density ρ W V = 7 g/m 3 at sea level. Left and right plots shows linear and logarithmic scale, respectively. Left plot indicates two predominant molecular oxygen (O 2 ) absorption lines at 60 GHz and 120 GHz.
Figure 1. Terahertz wave atmospheric power attenuation for temperature T = 20 °C and water vapor density ρ W V = 7 g/m 3 at sea level. Left and right plots shows linear and logarithmic scale, respectively. Left plot indicates two predominant molecular oxygen (O 2 ) absorption lines at 60 GHz and 120 GHz.
Technologies 07 00043 g001
Figure 2. Illustration of wireless channel, where the channel impulse response (CIR) is indicated by h ( t ) .
Figure 2. Illustration of wireless channel, where the channel impulse response (CIR) is indicated by h ( t ) .
Technologies 07 00043 g002
Figure 3. Transfer characteristics of a SiGe HBT following various total radiation doses. Upper family of curves shows collector currents I C and lower family shows base currents I B . Used with permission from [108].
Figure 3. Transfer characteristics of a SiGe HBT following various total radiation doses. Upper family of curves shows collector currents I C and lower family shows base currents I B . Used with permission from [108].
Technologies 07 00043 g003
Figure 4. Dynamic interference in different low-noise amplifiers generated by pulse-laser induced SEE experiment. For the core cascode stages, either forward (F) or inverse (I) mode SiGe HBTs are used. Used with permission from [109].
Figure 4. Dynamic interference in different low-noise amplifiers generated by pulse-laser induced SEE experiment. For the core cascode stages, either forward (F) or inverse (I) mode SiGe HBTs are used. Used with permission from [109].
Technologies 07 00043 g004
Table 1. Comparison of leading electronic terahertz front-ends and whole communication systems.
Table 1. Comparison of leading electronic terahertz front-ends and whole communication systems.
TypeTechnologies f MAX Frontend IC PerformanceComm. System DemonstrationNote
P out Noise Figure
Compound SemiconductorInP HEMT/HBT > 1.5 THz 2 dBm at 850 GHz [50]12.7 dB at 850 GHz [50]50 Gbps QPSK at 300 GHz [51]Highest f MAX
GaN HEMT > 230 GHz33 dBm at 100GHz [52]N/A Highest P out
GaAs SBD>3 THz ( f T ) 14 dBm at 2 THz (harm.)14 dB at 2 THz (mixer 1st) Highest frequency operation
SiliconSiGe HBT > 700 GHz9.6 dBm at 215 GHz [53]11 dB at 245 GHz [54]90 Gbps QAM at 230 GHz [55]Medium volume
CMOS FET > 450 GHz 4.6 dBm at 210 GHz (PA) [56] 5.4 dBm at 300 GHz (harm.) [57]9 dB at 200 GHz (LNA) [58] 14 dB at 280 GHz (mixer 1st) [59]105 Gbps QAM at 300 GHz [60] 30 Gbps QPSK at 300 GHz [61]Large volume

Share and Cite

MDPI and ACS Style

O’Hara, J.F.; Ekin, S.; Choi, W.; Song, I. A Perspective on Terahertz Next-Generation Wireless Communications. Technologies 2019, 7, 43. https://doi.org/10.3390/technologies7020043

AMA Style

O’Hara JF, Ekin S, Choi W, Song I. A Perspective on Terahertz Next-Generation Wireless Communications. Technologies. 2019; 7(2):43. https://doi.org/10.3390/technologies7020043

Chicago/Turabian Style

O’Hara, John F., Sabit Ekin, Wooyeol Choi, and Ickhyun Song. 2019. "A Perspective on Terahertz Next-Generation Wireless Communications" Technologies 7, no. 2: 43. https://doi.org/10.3390/technologies7020043

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop