Next Article in Journal
Low-Complexity Sum-Rate Maximization for Multi-IRS-Assisted V2I Systems
Previous Article in Journal
DSMBAD: Dual-Stream Memory Bank Framework for Unified Industrial Anomaly Detection
Previous Article in Special Issue
Optimization of CMOS Decoders Using Three-Transistor Logic
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Fast Electromigration Analysis via Asymmetric Krylov-Based Model Reduction

by
Pavlos Stoikos
1,*,
Dimitrios Garyfallou
1,*,
George Floros
2,*,
Nestor Evmorfopoulos
1,* and
George Stamoulis
1,*
1
Department of Electrical and Computer Engineering, University of Thessaly, 38221 Volos, Greece
2
Department of Electronic and Electrical Engineering, Trinity College Dublin, D02 PN40 Dublin, Ireland
*
Authors to whom correspondence should be addressed.
Electronics 2025, 14(14), 2749; https://doi.org/10.3390/electronics14142749
Submission received: 31 May 2025 / Revised: 29 June 2025 / Accepted: 2 July 2025 / Published: 8 July 2025
(This article belongs to the Special Issue Modern Circuits and Systems Technologies (MOCAST 2024))

Abstract

As semiconductor technologies continue to scale aggressively, electromigration (EM) has become critical in modern VLSI design. Since traditional EM assessment methods fail to accurately capture the complex behavior of multi-segment interconnects, recent physics-based models have been developed to provide a more accurate representation of EM-induced stress evolution. However, numerical methods for these models result in large-scale systems, which are computationally expensive and impractical for complex interconnect structures. Model order reduction (MOR) has emerged as a key enabler for scalable EM analysis, with moment-matching (MM) techniques offering a favorable balance between efficiency and accuracy. However, conventional Krylov-based approaches often suffer from limited frequency resolution or high computational cost. Although the extended Krylov subspace (EKS) improves frequency coverage, its symmetric structure introduces significant overhead in large-scale scenarios. This work introduces a novel MOR technique based on the asymmetric extended Krylov subspace (AEKS), which improves upon the conventional EKS by incorporating a sparsity-aware and computationally efficient projection strategy. The proposed AEKS-based moment-matching framework dynamically adapts the Krylov subspace construction according to matrix sparsity, significantly reducing runtime without sacrificing accuracy. Experimental evaluation on IBM power grid benchmarks demonstrates the high accuracy of our method in both frequency-domain and transient EM simulations. The proposed approach delivers substantial runtime improvements of up to 15× over full-order simulations and 100× over COMSOL, while maintaining relative errors below 0.5%, even under time-varying current inputs.

1. Introduction

The aggressive scaling of semiconductor technologies has introduced substantial performance gains but has also increased reliability concerns, particularly in power delivery networks. Among these reliability issues, electromigration (EM) has emerged as a critical failure mechanism, driven by high current densities that induce gradual material displacement. This process leads to the formation of voids and hillocks, which can cause open or short circuits and ultimately reduce chip longevity [1]. As a result, EM is now a dominant reliability limiter and a key consideration in modern VLSI design flows [2].
Traditional EM assessment methods, such as the Blech criterion [3] and Black’s equation [4], rely on empirical assumptions that fail to capture the spatial and temporal complexity of modern interconnect structures. The Blech criterion applies only to single-segment wires, overlooking stress interactions in multi-branch topologies, while Black’s equation assumes uniform geometry and constant stress, often leading to inaccurate lifetime estimates. These limitations result in overdesign, increased power, and inefficient area use, particularly in advanced nodes [5]. To address these shortcomings, Korhonen et al. [6] introduced a physics-based EM model formulated as a diffusion-like Partial Differential Equation (PDE). This approach describes EM dynamics more accurately by coupling atomic flux with hydrostatic stress gradients across wire segments. Building upon Korhonen’s physics-based formulation, several EM stress analysis techniques have emerged, which can be broadly categorized into analytical and numerical approaches. Analytical methods, such as [7,8], preserve the continuous nature of both space and time, and offer closed-form solutions suitable for large-scale interconnects. However, their practical applicability is often limited by the computational overhead of truncating infinite series expansions.
On the other hand, numerical methods, such as [9,10,11], are well-established due to their simplicity but tend to be computationally prohibitive for EM analysis, particularly in large-scale interconnect structures. This is primarily because their physics-based EM models, after spatial discretization, lead to large-scale descriptor systems that are computationally costly for transient analysis. As a result, the application of efficient model order reduction (MOR) techniques has become essential for enabling scalable EM analysis [12]. MOR approaches are commonly categorized into two main classes. The first class includes system-theoretic methods such as Balanced Truncation (BT) [13], which provide ROMs with guaranteed approximation error bounds based on Hankel singular values. However, classical BT requires the solution of computationally demanding Lyapunov matrix equations that are infeasible for dense matrices, especially when preserving sparsity is critical. The second class includes moment-matching (MM) techniques, which have gained popularity due to their high computational efficiency [14]. MM-based ROMs are constructed by matching a set of moments of the original system’s transfer function. However, ROM quality depends entirely on the employed Krylov subspace.
The majority of MM techniques utilize the standard or rational Krylov subspace to approximate the original model. Rational Krylov methods [15,16] offer improved convergence and preserve matrix sparsity, but they require careful selection of expansion points and do not provide guaranteed error bounds, which can compromise accuracy or stability. On the other hand, methods based on the standard Krylov subspace [9,14,17] are easy to implement and effective in many applications. Nonetheless, they suffer from a high-frequency bias and insufficient resolution of low-frequency behavior, which is especially problematic in EM analysis. Recent studies, such as [18], have shown that the extended Krylov subspace (EKS) can effectively capture both low- and high-frequency dynamics without the need for expansion point selection. However, its symmetric structure leads to computational inefficiencies, particularly due to the dense linear system solves and matrix–vector operations required at each iteration, thereby limiting the scalability of this subspace for large-scale EM analysis.
In this paper, we propose an MM numerical method based on the asymmetric extended Krylov subspace (AEKS) for efficient EM stress analysis of general interconnect structures. The main contributions of this paper are summarized as follows: Firstly, our method leverages the AEKS, a sparsity-aware projection strategy that adaptively enriches the subspace in the direction of the sparser matrix. This enables the proposed approach to retain the approximation quality of EKS while significantly reducing the computational overhead, making it an efficient and scalable approach for EM stress evaluation in large-scale models. Secondly, we introduce a parallelization strategy for computing the reduced-order response of large-scale EM systems, enabling scalable Krylov subspace construction and transfer function evaluation with the reuse of sparse matrix factorizations. Finally, the proposed method has been extensively validated across frequency-domain and transient EM simulations using large-scale industrial power grid benchmarks. In frequency-domain evaluations, our method consistently outperforms conventional MM approaches, closely approximating the original model response across the spectrum. In transient EM analysis, it achieves high-accuracy results, maintaining relative errors below 0.5% compared to COMSOL v5.5. Moreover, it delivers substantial runtime improvements of up to 15 × over original model simulations and 100 × over COMSOL, while demonstrating robustness under time-varying current density inputs.
The remainder of this article is organized as follows: Section 2 presents the physical and mathematical modeling of EM-induced stress, while Section 3 demonstrates the problem formulation of the EM analysis. Section 4 provides the theoretical background of MOR for EM analysis, focusing on the use of MM techniques to reduce the size of descriptor systems derived from interconnect structures. Section 5 discusses the limitations of conventional MM and EKS methods in the context of large-scale EM models. Section 6 and Section 7 introduce our main contributions, including the proposed AEKS method, and the parallel Krylov reduction for EM systems. Section 8 presents a comprehensive experimental evaluation of the proposed method using IBM benchmarks, while conclusions are drawn in Section 9.

2. Electromigration Mechanism

A representative cross-section of a Cu Dual Damascene (DD) interconnect is shown in Figure 1, capturing the essential mechanisms involved in EM. When an electric field is applied, the resulting current creates a stream of electrons that transfers momentum to the metal atoms through collisions, gradually displacing them in the direction of the electron flow [19]. Since the wire is physically constrained, atoms cannot exit the structure and instead begin to accumulate near the anode and deplete the cathode region. The resulting imbalance in mass transport leads to the development of a compressive stress at the anode and tensile stress at the cathode, which in turn induces a counteracting (back-stress) force. This back-stress drives atoms in the opposite direction, establishing a competing diffusion flow that partially offsets the EM-driven movement.
In advanced Cu DD interconnects that include capping and barrier layers, EM-induced metal transport is confined within individual layers. This property facilitates a layer-by-layer modeling approach, which is particularly useful for analyzing large-scale structures like power grids [20]. Such networks can be partitioned into multi-segment interconnect trees, composed of wire segments and vias interconnected at junctions, each potentially carrying different current densities [21]. A typical structure of a multi-segment interconnect tree is shown in Figure 2.
The most established model to describe the temporal evolution of EM-induced stress on a specific wire segment is Korhonen’s PDE [6]. This model relates the stress σ of the segment to the corresponding distance from the cathode x as follows:
σ t = x κ σ x + β j
where β  =  ( Z e ρ ) / Ω and κ  =  D a B Ω / ( k B T ) . Here, j is the current density through the wire segment, Z is the effective charge number, e is the electron charge, ρ is wire resistivity, Ω is the atomic volume for the metal, B is the bulk modulus of the material, k B is Boltzmann’s constant, T is temperature, t is time, and D a  =  D 0 e E a / k B T is the diffusion coefficient with D 0 being the diffusivity constant and E a the activation energy.
To extend Korhonen’s model for multi-segment interconnect trees, a set of appropriate boundary conditions (BCs) [22] should be applied to Equation (1). Assuming that the segments S i  =  { s 1 , s 2 , , s d i } are connected to a point x i with degree d i , the following BCs must hold at any time instance:
  • BC 1. Stress must be continuous at any intermediate point  x i :
    σ s k ( x = x i , t ) = σ s k + 1 ( x = x i , t ) , k = 1 , , d i 1
  • BC 2. The total flux entering any point x i must be zero:
    s k S i w s k κ s k σ s k x | x = x i + β j s k = 0
    where κ s k is the diffusivity of segment s k , j s k is the current density at segment s k , and w s k is the segment width.
  • BC 3. The total mass across the entire interconnect must be conserved. If S denotes the set of all segments s k , then the following holds:
    s k S σ s k d x d w = 0

3. Problem Formulation

In EM analysis, each segment of the interconnect tree is considered to carry a constant current density j [22]. As a result, the Korhonen’s Equation (1) for each segment takes the following form:
σ t = κ 2 σ x 2
Note that the assumption of constant current density j is made here solely to simplify the derivation of the Korhonen equation. This assumption will be relaxed in subsequent formulations, where j ( t ) is allowed to vary with time, enabling dynamic EM stress analysis.
This equation can be uniformly discretized by dividing each segment of the interconnect tree into points of equal length Δ x , using the finite difference method (FDM). By applying a finite difference approximation of the spatial derivative in Equation (5), for each discretized point i of the interconnect tree, we obtain the following:
d σ i d t = κ ( σ i + 1 σ i Δ x ) ( σ i σ i 1 Δ x ) Δ x
i.e.,
( w · Δ x ) d σ i d t = κ σ i + 1 σ i Δ x / w σ i σ i 1 Δ x / w ,
where w is the width of the wire segment that point i belongs to, and a i = w · Δ x is the total area of the segments connected to the point i (see Figure 3). The formula of a i is described as follows:
a i = 1 2 s k S i w s k · Δ x
To enforce the global mass conservation condition described in Equation (4) within the discretized model, the local area term a i is used to approximate the contribution of each interconnect segment around point i during numerical integration. For simplicity of visualization and discretization, we assume that thickness is negligible compared to the other two dimensions, effectively treating the interconnect as a two-dimensional structure. However, the same methodology remains applicable in three-dimensional settings by incorporating thickness into the local volume term.
a 1 a i a j a n σ 1 ˙ σ i ˙ σ j ˙ σ n ˙ = κ ( Δ x ) w s 1 w s 1 0 0 0 w s k ( w s k + w s k + 1 ) w s k + 1 0 0 w s p 1 ( w s p 1 + w s p + w s p + 1 ) w s p w s p + 1 0 0 0 w s m w s m σ 1 σ 2 σ i 1 σ i σ i + 1 σ j 1 σ j σ j + 1 σ j + 2 σ n 1 σ n + κ β w s 1 0 0 0 w s k w s k + 1 0 0 w s p 1 w s p w s p + 1 0 0 0 w s m j 1 j k j k + 1 j p 1 j p j p + 1 j m
After applying FDM on the m-segment interconnect tree of Figure 2, the resulting n discretized points may be located at five different locations, as shown in Figure 3. Considering the boundary conditions of Equations (2)–(4), we can rewrite Equation (7) for the n discretized points into the Ordinary Differential Equation (ODE) system of Equation (9). More specifically, Equation (9) depicts the stamps of the two boundary points x 1 and x n , any point x i at the middle of a segment, any intermediate junction point x i , and any via junction point x j , with 1 < i < j < n . As a result, we can write the ODE system for EM stress evolution as the following linear time-invariant (LTI) system:
C σ ˙ ( t ) = G σ ( t ) + B j ( t )

4. Background of MOR by Moment-Matching

Building on the formulation in Equation (10), the application of MOR techniques requires the system to be expressed in a state-space form suitable for reduction. For an interconnect tree comprising m segments and discretized into n points, the resulting system can be formulated as an LTI model:
C σ ˙ ( t ) = G σ ( t ) + B j ( t ) , y ( t ) = L σ ( t )
where G R ( n 1 ) × ( n 1 ) is the stress diffusion matrix, C R ( n 1 ) × ( n 1 ) is a diagonal matrix, σ ( t ) R n 1 denotes the vector of unknown stress values at all discretization points (constituting internal states of the system), j ( t ) R p represents the vector of input excitations from the current densities, B R ( n 1 ) × p is the input-to-state connectivity matrix, L R p × ( n 1 ) is the state-to-output connectivity matrix, and y ( t ) R q is the output vector representing the observed stress values. Moreover, by denoting the model order as N n 1 , Equation (11) can be interpreted as a generalized state-space representation, commonly referred to as the descriptor form.
The objective of MOR is to produce a reduced-order model:
C ˜ d σ ˜ ( t ) d t = G ˜ σ ˜ ( t ) + B ˜ σ ( t ) , y ˜ ( t ) = L ˜ σ ˜ ( t )
with G ˜ , C ˜ R r × r , B ˜ R r × p , L ˜ R q × r , where the order of the reduced model is r < < N and the output error | | y ˜ ( t ) y ( t ) | | 2 is small. An equivalent metric of accuracy in the frequency domain (via Plancherel’s theorem [23]) is the distance | | H ˜ ( s ) H ( s ) | | , where
H ( s ) = L ( s C G ) 1 B H ˜ ( s ) = L ˜ ( s C ˜ G ˜ ) 1 B ˜
are the transfer functions of the original model and the ROM, and | | . | | is the induced L 2 matrix norm (or the H norm of a rational transfer function).
The most important and successful MOR methods for linear systems are based on MM. They are very efficient in circuit simulation problems and are formulated in a way that has a direct application to the linear model of (11).
By applying the Laplace transform to (11), we obtain the s domain equations as follows:
s C σ ( s ) x ( 0 ) = G σ ( s ) + B u ( s ) y ( s ) = L σ ( s )
Assuming that σ ( 0 ) = 0 and that a unit impulse excitation is applied (i.e., u ( s ) = 1 ), the system’s impulse response can be extracted. This assumption is standard in MM MOR and serves as a mathematical simplification to derive the moments of the transfer function, rather than a physical input used in simulation. Accordingly, the system can be written as follows:
( s C G ) σ ( s ) = B y ( s ) = L σ ( s )
By expanding the Taylor series of x ( s ) around zero, we derive the following equation:
( s C G ) ( x 0 + x 1 s + x 2 s 2 + ) = B
The transfer function of (11) is a function of s and can be expanded into a moment expansion around s = 0 as follows:
H ( s ) = M 0 + M 1 s + M 2 s 2 + M 3 s 3
where M 0 , M 1 , M 2 , M 3 , … are the moments of the transfer function. In general, M 0 corresponds to the steady-state (DC) solution of the underlying linear system. It represents the system response under constant input conditions, where all dynamic effects are neglected. Depending on the physical context, this may correspond to the zero-frequency response, the static stress distribution, or the equilibrium state of the system.
Moreover, M 1 represents the first-order dynamic behavior of the system around steady-state conditions. In physical terms, it captures the main time constant controlling how the system responds dynamically to external inputs. In general, M i is related to the system matrices as follows:
M i = L T ( G 1 C ) i G 1 B
The goal of MM reduction techniques is the derivation of a ROM, where some moments M ˜ i of the reduced-order transfer function H ˜ ( s ) match some moments of the original transfer function H ( s ) .
Let us now denote the two projection matrices onto a lower dimensional subspace as V , V r R N × r . These matrices can be derived from the associated moments using one or more expansion points. As a result, if we assume that s = 0 , then matrices V and V r are defined as follows:
r a n g e ( V r ) = s p a n { G 1 B , ( G 1 C ) G 1 B , ,
( G 1 C ) r 1 G 1 B }
r a n g e ( V ) = s p a n { L T , ( C T G T ) L T , , ( C T G T ) r 1 L T }
The computed ROM matches the first 2 r moments and is obtained by the following matrices:
C ˜ = V T C V r , G ˜ = V T G V r , B ˜ = V T B , L ˜ = L V r
This reduced model provides a good approximation around the DC point. Finally, in case we employ a one-sided Krylov method, which is usually the case, matrix V can be set equal to V r , an equality that also holds for symmetric systems.

5. Specification of EKS and Its Application to MM

The essence of MM methods is to iteratively compute a projection subspace, and then project the original system into this subspace in order to obtain the ROM of (12). The dimension of the projection subspace is increased in every iteration, until an “a priori” number of the moments is matched. More specifically, if r is the desired order for the reduced system and k = r p is the number of moments (where p denotes the total number of input/output ports), then V R N × r is a projection matrix whose columns span the r-dimensional Krylov subspace:
K r ( A E , B E ) = s p a n { B E , A E B E , A E 2 B E , , A E r 1 B E }
where
A E G 1 C , B E G 1 B
Then, the ROM is obtained through the following matrix transformations:
C ˜ = V T C V , G ˜ = V T G V , B ˜ = V T B , L ˜ = L V
with G ˜ , C ˜ R r × r , B ˜ R r × p , L ˜ R q × r . Although efficient, this construction captures high-frequency dynamics, which is less effective in applications such as EM stress analysis, where long-term stress evolution is governed by low-frequency behavior.
The effectiveness of the projection process can be improved by expanding around more points than s = 0 , leading to rational Krylov subspaces with targeted expansion points [15,16]. However, the selection of expansion points is nontrivial and problem-dependent. To avoid this ambiguity, we consider the EKS, which combines both standard and inverse Krylov subspaces, thereby expanding the subspace around both s = 0 and s = . Formally, the EKS is defined as follows:
K r E ( A E , B E ) = K r / 2 ( A E , B E ) + K r / 2 ( A E 1 , B E ) =
s p a n { B E , A E 1 B E , A E B E , A E 2 B E , A E 2 B E , A E 3 B E , ,
A E ( r / 2 ) 1 B E , A E r / 2 B E }
This construction achieves spectral enrichment across a broad frequency range without requiring the manual selection of expansion points. It has been successfully applied in matrix function approximation [24], as well as in Lyapunov and Sylvester equation solvers [25,26], demonstrating significant improvements in the performance of Krylov subspace methods. Unlike weighted approaches such as [27], EKS avoids ambiguity in the choice of expansion points. An earlier version of this EKS formulation appeared in [28], where the method was initially introduced.
In a later work [18], we applied the EKS framework in the context of EM analysis to reduce the computational cost of matrix exponential evaluations during time-domain stress simulations. This earlier application demonstrated the capability of EKS to capture slow dynamics and long-term stress evolution with improved numerical stability. Nevertheless, the symmetric expansion of EKS requires both forward and inverse matrix operations at every iteration, which becomes computationally expensive for large-scale EM systems characterized by structurally unbalanced matrices (e.g., one sparse and one dense matrix).

6. Proposed Asymmetric Krylov-Based Method

To address the limitation of symmetric subspace construction in traditional EKS methods, we propose AEKS as an efficient and scalable MOR strategy tailored for EM stress analysis in large-scale interconnect structures. Unlike EKS, which symmetrically alternates between forward and inverse matrix operations, AEKS introduces a sparsity-aware expansion strategy that prioritizes computational efficiency without compromising spectral enrichment. In practical implementations, the inputs to construct the EKS are not actually the matrices A E = G 1 C and B E = G 1 B but the original system matrices G and C , which are very sparse in typical EM problems. This is because the generally dense inverse matrices G 1 and C 1 are only needed for matrix–vector products during basis construction. These products can be implemented as sparse linear solves ( C Y = R and G Y = R ) by employing any sparse direct [29] or iterative [30] algorithm. However, in typical EM problems, one of matrices G or C is considerably sparser than the other. In that case, the solves with C are much more efficient than the solves with G , and it can be very computationally beneficial to deviate from symmetry in the construction of the EKS (24).
Following this principle, our method begins by computing the sparsity (i.e., number of non-zeros) of the matrices G and C , and expands predominantly in the direction ( A E or A E 1 ) that will generate more sparse solves, by adding one moment block corresponding to the denser solve for every m moment blocks of the sparser solve. For example, in the usual case where C is sparser than G , and for m = 3 , the proposed AEKS method will generate one moment block of A E = G 1 C after three moment blocks of A E 1 = C 1 G and will create the following r-dimensional subspace:
K r A E ( A E , B E ) = K r / 4 ( A E , B E ) + K 3 r / 4 ( A E 1 , B E ) =
s p a n { B E , A E 1 B E , A E 2 B E , A E 3 B E , A E B E , A E 4 B E , ,
A E ( r / 4 ) 1 B E , A E ( 3 r / 4 2 ) B E , A E ( 3 r / 4 1 ) B E , A E 3 r / 4 B E }
The AEKS procedure is visually represented in Figure 4 (compared to the symmetric EKS), and the proposed AEKS construction method is presented in Algorithm 1.
In steps 1–7, the algorithm begins by checking whether the number of non-zero entries in matrix C is smaller than that in matrix G . Based on this, it constructs the initial orthonormal basis and sets the appropriate dense and sparse matrix operators accordingly. During each iteration (steps 9–19), the algorithm applies the appropriate operator (either Dense_Op or Sparse_Op) to compute a new candidate block vector V 1 , orthogonalizes it against the existing basis vectors using Algorithm 2 (step 15) [31], normalizes it through QR factorization, and then appends the result to the evolving subspace (step 17). Finally, in step 20, the basis is truncated to the desired reduced order r.
Algorithm 1: AEKS construction method via MM.
Electronics 14 02749 i001
Algorithm 2: Orthogonalization with respect to another matrix.
Electronics 14 02749 i002

7. Efficient Computation of the Reduced-Order Response and Transfer Function

To efficiently compute the transfer function and the output response of a multi-input multi-output (MIMO) descriptor model like (11), we can consider the following single-input multi-output (SIMO) subsystems:
C d σ ( t ) d t = G σ ( t ) + b i u i ( t ) , y i ( t ) = L σ ( t )
where b i is the i-th column of matrix B , and u i ( t ) is the i-th input ( i = 1 , , p ). From these SIMO subsystems, the output of the MIMO descriptor system is y ( s ) = n = 1 p y i ( s ) = n = 1 p h i ( s ) u i ( s ) , where
h i ( s ) = L ( s C G ) 1 b i
This effectively represents the superposition property of LTI systems.
The above decomposition can be employed for the parallel computation of the reduced-order MIMO transfer function. In particular, for each SIMO subsystem of (26), a projection matrix V i R N × r can be computed, whose columns span the r-dimensional EKS (or AEKS):
K r E ( A E , b i E ) = K r / 2 ( A E , b i E ) + K r / 2 ( A E 1 , b i E ) =
s p a n { b i E , A E 1 b i E , A E b i E , A E 2 b i E , A E 2 b i E , A E 3 b i E , ,
A E ( r / 2 ) 1 b i E , A E r / 2 b i E }
where b i E A 1 b i . The computation of the projection matrices (by Algorithm 1) is independent of one another and can be performed in parallel. The reduced-order SISO subsystems can then be computed in parallel as follows:
E ˜ i = V i T E V i , A ˜ i = V i T A V i , b ˜ i = V i T b i , L ˜ i = L V i
The i-th column of the MIMO reduced-order transfer function would then be computed as follows:
h ˜ i ( s ) = L ˜ i ( s C ˜ i G ˜ i ) 1 b ˜ i + d i
and the whole MIMO ROM transfer function can be derived as the concatenation:
H ˜ ( s ) = [ h ˜ 1 ( s ) ; h ˜ 2 ( s ) ; ; h ˜ p ( s ) ]
It is worth noting that this decomposition is better combined with direct solvers since the computation of the Krylov subspace requires sparse solves with G and C , as previously mentioned. Using direct solvers, one can precompute the proper decomposition of the above matrices and then reuse them in each parallel computation.

8. Experimental Results

In this section, we provide a detailed experimental evaluation of the proposed AEKS-MM methodology. The experiments are organized into three parts. First, we investigate the numerical performance and quality of AEKS-MM against conventional Krylov subspace approaches, including MM and EKS-MM methods. Our evaluation focuses on large-scale industrial IBM power grids, examining the accuracy, computational efficiency, and frequency-domain behavior of the generated ROMs. Second, our approach is compared to COMSOL v5.5, a FEM-based solver, for an artificial multi-segment interconnect tree. Finally, we perform EM stress analysis on representative large-scale power grids to further evaluate the applicability of our proposed method. For time-domain simulations, an implicit Backward Euler (BE) method was employed for solving the ROMs derived from AEKS-MM. All power grid structures analyzed in this work are assumed to be made of Cu DD interconnects. This assumption allows each layer and interconnect structure to be analyzed independently under the local stress models. The proposed AEKS-MM methodology was developed using MATLAB R2021a, utilizing its built-in numerical toolset for matrix operations, linear solves, and sparse matrix handling. All experiments were conducted on a high-performance Windows system equipped with a 4.7 GHz Intel Core i9-9900k CPU, 32 GB RAM, and 16 threads, ensuring robust computational capacity for large-scale transient simulations.
COMSOL v5.5 was used with the Coefficient Form PDE interface, which allows direct implementation of Korhonen’s PDE. The geometry was modeled as a 2D multi-segment geometry, and material parameters were assigned per segment. Boundary conditions were defined using the Flux/Source formulation, enabling accurate specification of both zero-flux (Neumann) and non-zero-flux conditions at segment boundaries. The mesh resolution was selected to match the spatial accuracy of the FDM discretization used in our method. In particular, quad meshing was used as the default strategy to preserve element alignment across wire segments, while triangular meshing was enabled only in the case of quad generation failure. Finally, COMSOL time-domain simulations were performed using an implicit BE solver with absolute and relative tolerances set to 10 6 and a fixed time step of Δ t = 0.05 years matching the AEKS-MM simulation grid.
Prior to the full experimental evaluation, we conducted a sensitivity study to determine the optimal choice of the modulo parameter m in Algorithm 1, balancing reduction time and accuracy. Four industrial benchmarks were selected, each featuring approximately 1.6 million discretization points and 900 interconnect segments on average, covering a wide range of sparsity ratios γ = nnz ( C ) / nnz ( G ) , with γ [ 0.2 , 0.6 ] , representative of all IBM cases. Figure 5 shows the impact of varying m [ 1 , 6 ] on the relative error of the transfer function and the corresponding reduction time across the four benchmarks. For low sparsity ( γ = 0.21 ), the best trade-off is achieved with m = 2 , while for moderate sparsity ( γ = 0.33 , 0.42 ), m = 3 performs best. In cases of higher sparsity ( γ = 0.56 ), m = 4 provides improved accuracy at a reasonable cost. These trends provide practical guidance for selecting the parameter m according to the sparsity pattern of the problem.

8.1. Accuracy and Performance Evaluation of ROMs

For the experimental evaluation of the proposed methodology, we used the available IBM power grid benchmarks [32]. Each power grid structure was processed using a BFS algorithm to extract representative multi-segment interconnect lines suitable for EM stress analysis. To compute the DC steady-state currents required for EM stress analysis, we performed DC simulations using the Ngspice [33] circuit simulator. From each benchmark, we selected the interconnect line containing the highest number of discretization points, as these represent the most challenging cases for transient EM stress evolution. The corresponding ODE systems derived from the discretization were subsequently used to construct ROMs based on the different Krylov subspace methods evaluated. EKS-MM and AEKS-MM were implemented following the procedures described in Section 5 and Section 7, respectively, and were compared against a standard MM method using the superposition property. For a fair comparison, an appropriate number of matching moments were selected so that the resulting ROM orders were identical across EKS-MM, AEKS-MM, and MM.
Our results are reported in the remaining columns of Table 1. The characteristics of the selected lines are shown in the first two columns of table, where Order refers to the number of discretization nodes, and #ports indicates the total number of junctions and end-points present in the interconnect line. Also, ROM Order refers to the size of A and E ROM matrices, Max Error refers to the error between the infinity norms of the transfer functions, i.e., | | H ˜ ( s ) H ( s ) | | = max s [ 10 0 , 10 12 ] | H ˜ ( s ) H ( s ) | , and Time refers to the computational time (in seconds) needed to generate each submatrix h i ( s ) of (30), while Error Red. refers to the error reduction percentage achieved by EKS-MM and AEKS-MM over MM. It can be clearly verified that, compared to MM for a similar ROM order, EKS-MM and AEKS-MM produce ROMs with significantly smaller errors. As depicted in Table 1, the Error Red. ranges from 36.98% to 80.12% for EKS-MM, while for AEKS-MM, it ranges from 19.64% to 66.68%. The differences in error reduction percentages across benchmarks stem primarily from structural and topological variations among the selected interconnects, such as length, number of segments, and current densities. Additionally, the observed error reduction depends on the specific port locations used to define the transfer function, since the frequency content and dynamic behavior of the response can vary significantly between ports. These combined effects explain the variations in error reduction percentages across benchmarks. The execution time of EKS-MM is negligibly larger than standard MM for each moment computation, due to the expansion at two points; however, the efficient implementation can effectively mask this overhead to a substantial extent and make the procedure applicable to large-scale interconnect lines. On the other hand, AEKS-MM leverages the sparse solve operation to dramatically reduce the overall runtime, while maintaining an acceptable error margin compared to EKS-MM, with a maximum error difference of only 0.4%. More specifically, AEKS-MM achieves significant average runtime speedups of up to 7 × over EKS-MM and 6 × over MM.
To demonstrate the accuracy of our method, we compare the transfer functions of the original model with those of the ROMs generated by EKS-MM, AEKS-MM, and MM. The corresponding transfer functions for the selected interconnect lines from the bmpg1 and ibmpg4 benchmarks, over the frequency band [ 10 0 , 10 12 ] Hz, are shown in Figure 6. Additionally, Figure 7 presents the transfer functions of the ROMs produced by EKS-MM, AEKS-MM, and MM, along with the corresponding absolute errors with respect to the original model, for the selected line from the ibmpg7 benchmark within the same frequency band.
As can be observed, the responses of the EKS-MM and AEKS-MM ROMs closely follow the original model’s response across the entire frequency range, whereas the MM ROM exhibits noticeable deviations. In particular, MM ROMs fail to capture dips and overshoots that appear at certain frequency bands, leading to significant mismatches with the original response. This behavior highlights the advantage of using two-sided projection methods such as AEKS-MM to accurately capture broadband dynamics.

8.2. EM Stress Evaluation on a Seven-Segment Tree

To validate the accuracy of our approach on complex geometries, an artificial seven-segment interconnect tree with four T-junctions was constructed, where each segment was assigned a different width and current density. The segment widths are defined as follows: w 1 = 0.6 μm, w 2 = w 3 = w 4 = 0.25 μm, and w 5 = w 6 = w 7 = 0.4 μm, while the segment lengths are depicted in Figure 8. The corresponding constant current densities are set as follows: j 1 = 2 × 10 9 A / m 2 , j 2 = 3 × 10 9 A / m 2 , j 5 = 4 × 10 9 A / m 2 , and j 3 = j 4 = j 6 = j 7 = 1 × 10 9   A / m 2 .
In addition, we performed transient simulation using the AEKS-MM ROM at t = 5 , t = 10 , and t = 20 years, as shown in Figure 8a–c, with the colorbars depicting the spatial distribution of the stress buildup across the artificial trees. The tuples next to boundary or junction nodes are the values computed by our method and COMSOL. In Figure 8d, the relative error along the tree is found to be well below 0.7% with a reduced order r = 4 after applying a discretization step D x = 2.5  μm. This stress distribution reveals compressive stress near anodes and tensile stress near cathodes, as expected from EM-driven atomic flux. In particular, junction and via junction points exhibit localized stress peaks, indicating potential EM hotspots where material failure is likely to occur.

8.3. Transient EM Analysis on IBM Power Grid Benchmarks

For EM stress assessment on very large-scale power grids, we utilized the interconnect lines provided in Table 1 to evaluate the scalability and reliability of our proposed methodology. These benchmarks include up to 3.2 million degrees of freedom (DOFs), over 2300 interconnect segments, and as many as 966 ports, representing realistic and highly complex industrial designs. In this setup, the ROMs generated by AEKS-MM were used to perform transient EM analysis over a simulation window of 20 years, with a discretization step of t = 0.05 years using the BE method. The results for the selected interconnect lines from the IBM benchmarks are presented in Table 2, where we report the runtimes of the original model simulation, the runtimes of the AEKS-MM simulation (including the ROM construction time as listed in Table 1), and the corresponding runtimes obtained from COMSOL simulation. Furthermore, the table includes the speedups achieved by our methodology with respect to both the original model simulation and COMSOL, along with accuracy metrics, where the COMSOL results are used as the reference solution.
As shown, our methodology achieves an average speedup of 15 × compared to simulating the original model and 100 × over COMSOL simulations. It is also observed that the ROM construction phase accounts for about 85% of the total simulation time, indicating that the main computational overhead stems from the reduction procedure itself. Although the reduction is performed only once for each interconnect structure during EM analysis, in large-scale power grids containing tens of thousands of interconnects, this overhead could become a bottleneck. This observation highlights the critical importance of selecting an efficient Krylov subspace generation method for MOR techniques applied to EM reliability analysis. Moreover, our methodology achieves a mean relative error of 0.4% compared to COMSOL, while maintaining an average deviation of only 0.12% from the original model. These gains are especially significant given the limitations of COMSOL in large-scale EM analysis. Although COMSOL provides a flexible environment for FEM-based PDE simulation, it solves the full-order system without inherent MOR capabilities. As a result, it suffers from poor scalability and high computational cost when applied to large-scale interconnect structures, making it impractical for fast or repeated EM stress evaluations. Furthermore, COMSOL is constrained by an upper bound of approximately one million DOFs and demands substantial memory resources, often leading to excessive runtimes or simulation failures.
Regarding the spatial accuracy of the EM stress distribution, Figure 9 presents the hydrostatic stress along the first 27 segments (total length 2400 μm) of the selected interconnect line from ibmpg1 benchmark after 20 years of simulation. The gradual stress buildup and eventual saturation over time indicate that the system approaches a steady-state back-stress equilibrium, consistent with Korhonen’s diffusion model. As can be observed, the stress profiles generated by AEKS-MM are in excellent agreement with the reference COMSOL solution along the entire length of the line. Finally, to assess the capability of our approach in handling time-dependent current density inputs, we applied a periodic piecewise current density input at the left boundary node of the previously selected interconnect line. The applied profile starts at zero and oscillates between 4 × 10 9 A/m2 and 4 × 10 9 A/m2. Figure 10 shows both the applied input current density and the corresponding transient EM stress responses obtained by AEKS-MM and COMSOL at the left boundary node over 20 years. The results demonstrate that both methods perfectly match throughout the entire transient simulation, even with time-variant input.

9. Conclusions

In this paper, we proposed a fast numerical approach for transient analysis of EM-induced stress based on the MOR technique at discrete spatial points of general interconnect structures. By leveraging the flexibility of the AEKS-MM framework, the proposed methodology exploits sparse solve operations to dramatically reduce the overall reduction runtime, achieving up to a 7× speedup compared to conventional numerical approaches such as MM and EKS-MM. At the same time, AEKS-MM maintains an acceptable error margin in the transfer function approximation relative to the original model, while significantly improving the accuracy over standard MM techniques. A key aspect of our methodology lies in the careful selection of the Krylov subspace used for model reduction. Since numerical MOR approaches for EM stress analysis critically depend on the underlying subspace construction, optimizing this step is essential for ensuring both high accuracy and computational efficiency. For the EM stress evaluation, the experimental results demonstrated that AEKS-MM ROMs achieve high accuracy compared to full-order simulations, maintaining a relative error of less than 0.5% with respect to COMSOL solutions. Moreover, the proposed approach significantly reduces simulation runtimes, achieving average speedups of 100 × relative to COMSOL and 15 × compared to full-order transient simulations. Furthermore, AEKS-MM exhibits strong robustness under time-dependent current density inputs, accurately tracking stress evolution even in dynamically changing scenarios. Although this work focused on planar copper-based 2D power grids, the AEKS-MM framework is fully extensible to more advanced interconnect technologies. In particular, it can be applied to multi-layer metal stacks and 3D-ICs with through-silicon vias (TSVs), as EM behavior is governed by similar PDE formulations. In such complex geometries, the use of efficient ROM techniques such as AEKS-MM becomes even more crucial for scalable and reliable EM analysis. Finally, our method is applicable not only to the nucleation phase but also to the growth phase, as the generated ROMs can be utilized to model the stress evolution throughout the entire EM process.

Author Contributions

Conceptualization, P.S. and D.G.; methodology, G.F.; software, P.S.; experimental evaluation, P.S., D.G., and G.F.; writing and manuscript preparation, D.G., G.F., and P.S.; review and editing, N.E. and G.S. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

The original contributions presented in the study are included in the article; further inquiries can be directed to the corresponding author.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Yang, C.C.; Spooner, T.; McLaughlin, P.; Hu, C.K.; Huang, H.; Mignot, Y.; Ali, M.; Lian, G.; Quon, R.; Standaert, T.; et al. Microstructure modulation for resistance reduction in copper interconnects. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Busan, Republic of Korea, 2–5 June 2017; pp. 1–3. [Google Scholar]
  2. Lienig, J.; Thiele, M. Fundamentals of Electromigration-Aware Integrated Circuit Design; Springer International Publishing: Cham, Switzerland, 2018. [Google Scholar]
  3. Blech, I.A. Electromigration in thin aluminum films on titanium nitride. J. Appl. Phys. 1976, 47, 1203–1208. [Google Scholar] [CrossRef]
  4. Black, J. Electromigration—A brief survey and some recent results. IEEE Trans. Electron Devices 1969, 16, 338–347. [Google Scholar] [CrossRef]
  5. Sapatnekar, S.S. Electromigration-Aware Interconnect Design. In Proceedings of the 2019 International Symposium on Physical Design (ISPD), San Francisco, CA, USA, 14–17 April 2019; pp. 83–90. [Google Scholar]
  6. Korhonen, M.; Borgesen, P.; Tu, K.N.; Li, C. Stress evolution due to electromigration in confined metal lines. J. Appl. Phys. 1993, 73, 3790–3799. [Google Scholar] [CrossRef]
  7. Chen, H.B.; Tan, S.X.D.; Peng, J.; Kim, T.; Chen, J. Analytical Modeling of Electromigration Failure for VLSI Interconnect Tree Considering Temperature and Segment Length Effects. IEEE Trans. Device Mater. Reliab. 2017, 17, 653–666. [Google Scholar] [CrossRef]
  8. Al Shohel, M.A.; Chhabria, V.A.; Evmorfopoulos, N.; Sapatnekar, S.S. Analytical Modeling of Transient Electromigration Stress based on Boundary Reflections. In Proceedings of the IEEE/ACM International Conference On Computer Aided Design (ICCAD), Munich, Germany, 1–4 November 2021; pp. 1–8. [Google Scholar]
  9. Cook, C.; Sun, Z.; Demircan, E.; Shroff, M.D.; Tan, S.X.D. Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method. IEEE Trans. Very Large Scale Integr. (Vlsi) Syst. 2018, 26, 969–980. [Google Scholar] [CrossRef]
  10. Chatterjee, S.; Sukharev, V.; Najm, F.N. Power Grid Electromigration Checking Using Physics-Based Models. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2018, 37, 1317–1330. [Google Scholar] [CrossRef]
  11. Zhou, H.; Sun, Z.; Sadiqbatcha, S.; Tan, S.X.D. EM Lifetime Constrained Optimization for Multi-Segment Power Grid Networks. In Dependable Embedded Systems; Springer International Publishing: Cham, Switzerland, 2021; pp. 365–383. [Google Scholar]
  12. Al Shohel, M.A.; Chhabria, V.A.; Evmorfopoulos, N.; Sapatnekar, S.S. Frequency-Domain Transient Electromigration Analysis Using Circuit Theory. In Proceedings of the 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Francisco, CA, USA, 28 October–2 November 2023; pp. 1–8. [Google Scholar]
  13. Phillips, J.; Daniel, L.; Miguel Silveira, L. Guaranteed passive balancing transformations for model order reduction. In Proceedings of the Design Automation Conference, New Orleans, LA, USA, 10–14 June 2002; pp. 52–57. [Google Scholar]
  14. Odabasioglu, A.; Celik, M.; Pileggi, L. PRIMA: Passive reduced-order interconnect macromodeling algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1998, 17, 645–654. [Google Scholar] [CrossRef]
  15. Mei, S.; Ismail, Y.I. Stable Parallelizable Model Order Reduction for Circuits With Frequency-Dependent Elements. IEEE Trans. Circuits Syst. Regul. Pap. 2009, 56, 1214–1220. [Google Scholar]
  16. Zhao, W.; Pang, G.K.H.; Wong, N. Automatic adaptive multi-point moment matching for descriptor system model order reduction. In Proceedings of the International Symposium on VLSI Design, Automation, and Test, Hsinchu, Taiwan, 22–24 April 2013; pp. 1–4. [Google Scholar]
  17. Banagaaya, N.; Alì, G.; Schilders, W.H.A.; Tischendorf, C. Implicit index-aware model order reduction for RLC/RC networks. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, Dresden, Germany, 24–28 March 2014; pp. 1–6. [Google Scholar]
  18. Stoikos, P.; Floros, G.; Garyfallou, D.; Evmorfopoulos, N.; Stamoulis, G. A Fast Semi-Analytical Approach for Transient Electromigration Analysis of Interconnect Trees Using Matrix Exponential. In Proceedings of the Procs. of the 28th Asia and South Pacific Design Automation Conference, Tokyo, Japan, 16–19 January 2023; pp. 1–6. [Google Scholar]
  19. Sullivan, G.A. Search for reversal in copper electromigration. J. Phys. Chem. Solids 1967, 28, 347–350. [Google Scholar] [CrossRef]
  20. Zhang, L.; Kraatz, M.; Aubel, O.; Hennesthal, C.; Im, J.; Zschech, E. Cap layer and grain size effects on electromigration reliability in Cu/low-k interconnects. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Burlingame, CA, USA, 6–9 June 2010; pp. 1–3. [Google Scholar]
  21. Chang, W.H.; Chao, M.C.T.; Chen, S.H. Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad Layer. IEEE Trans. Very Large Scale Integr. (Vlsi) Syst. 2014, 22, 1069–1081. [Google Scholar] [CrossRef]
  22. Chatterjee, S.; Sukharev, V.; Najm, F. Fast physics-based electromigration checking for on-die power grids. In Proceedings of the Procs. of the IEEE International Conference on Computer-Aided Design, Austin, TX, USA, 7–10 November 2016. [Google Scholar]
  23. Gröchenig, K. Foundations of Time-Frequency Analysis; Birkhäuser: Boston, MA, USA, 2001. [Google Scholar]
  24. Knizhnerman, L.; Simoncini, V. A new investigation of the extended Krylov subspace method for matrix function evaluations. Numer. Linear Algebra Appl. 2010, 17, 615–638. [Google Scholar] [CrossRef]
  25. Simoncini, V. A New Iterative Method for Solving Large-Scale Lyapunov Matrix Equations. Siam J. Sci. Comput. 2007, 29, 1268–1288. [Google Scholar] [CrossRef]
  26. Floros, G.; Evmorfopoulos, N.; Stamoulis, G. Frequency-Limited Reduction of Regular and Singular Circuit Models Via Extended Krylov Subspace Method. IEEE Trans. Very Large Scale Integr. (Vlsi) Syst. 2020, 28, 1610–1620. [Google Scholar] [CrossRef]
  27. Wang, J.M.; Nguyen, T.V. Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources. In Proceedings of the Design Automation Conference, Los Angeles, CA, USA, 5–9 June 2000; pp. 247–252. [Google Scholar]
  28. Chatzigeorgiou, C.; Garyfallou, D.; Floros, G.; Evmorfopoulos, N.; Stamoulis, G. Exploiting Extended Krylov Subspace for the Reduction of Regular and Singular Circuit Models. In Proceedings of the Asia and South Pacific Design Automation Conference, Tokyo Japan, 18–21 January 2021; pp. 773–778. [Google Scholar]
  29. Davis, T.A.; Natarajan, E.P. Algorithm 907: KLU, A Direct Sparse Solver for Circuit Simulation Problems. ACM Trans. Math. Softw. 2010, 37, 1–17. [Google Scholar] [CrossRef]
  30. Garyfallou, D.a.o. A Combinatorial Multigrid Preconditioned Iterative Method for Large Scale Circuit Simulation on GPU s. In Proceedings of the International Conference on Synthesis, Modeling, Analysis, and Simulation Methods and Applications to Circuit Design, Prague, Czech Republic, 2–5 July 2018; pp. 209–212. [Google Scholar]
  31. Golub, G.; Van Loan, C.F. Matrix Computations; Johns Hopkins University Press: Baltimore, MD, USA, 1996. [Google Scholar]
  32. Nassif, S.R. Power grid analysis benchmarks. In Proceedings of the Asia and South Pacific Design Automation Conference, Seoul, Republic of Korea, 21–24 January 2008; pp. 376–381. [Google Scholar]
  33. Nenzi, P.; Vogt, H. Ngspice User’s Manual, Version 23; 2011. Available online: https://ngspice.sourceforge.io/docs/ngspice-manual.pdf (accessed on 10 March 2025).
Figure 1. Cross-sectional illustration of a Cu wire depicting the EM process.
Figure 1. Cross-sectional illustration of a Cu wire depicting the EM process.
Electronics 14 02749 g001
Figure 2. An m-segment interconnect tree.
Figure 2. An m-segment interconnect tree.
Electronics 14 02749 g002
Figure 3. Each discretized point may be located at (a) the left boundary segment, (b) the middle of a segment, (c) an intermediate junction, (d) a via junction, and (e) the right boundary segment. The blue box around each discretized point represents the corresponding area of Equation (8).
Figure 3. Each discretized point may be located at (a) the left boundary segment, (b) the middle of a segment, (c) an intermediate junction, (d) a via junction, and (e) the right boundary segment. The blue box around each discretized point represents the corresponding area of Equation (8).
Electronics 14 02749 g003
Figure 4. Visual representation of (a) the EKS sequence and (b) the AEKS sequence. Blue and purple blocks correspond to powers of matrices A E and A E 1 , respectively.
Figure 4. Visual representation of (a) the EKS sequence and (b) the AEKS sequence. Blue and purple blocks correspond to powers of matrices A E and A E 1 , respectively.
Electronics 14 02749 g004
Figure 5. Modulo m parameter selection for optimal trade-off across four industrial IBM benchmarks with varying sparsity ratios γ .
Figure 5. Modulo m parameter selection for optimal trade-off across four industrial IBM benchmarks with varying sparsity ratios γ .
Electronics 14 02749 g005
Figure 6. Comparison of transfer functions of ROMs obtained by EKS-MM, AEKS-MM, and MM in the frequency range [ 10 0 , 10 12 ] for ibmpg1 and ibmpg4 benchmarks at ports (5,5) and (10,10), respectively.
Figure 6. Comparison of transfer functions of ROMs obtained by EKS-MM, AEKS-MM, and MM in the frequency range [ 10 0 , 10 12 ] for ibmpg1 and ibmpg4 benchmarks at ports (5,5) and (10,10), respectively.
Electronics 14 02749 g006
Figure 7. Comparison of transfer functions and absolute error magnitudes of ROMs obtained by EKS-MM, AEKS-MM, and MM in the frequency range [ 10 0 , 10 12 ] for ibmpg7 at port (15,15).
Figure 7. Comparison of transfer functions and absolute error magnitudes of ROMs obtained by EKS-MM, AEKS-MM, and MM in the frequency range [ 10 0 , 10 12 ] for ibmpg7 at port (15,15).
Electronics 14 02749 g007
Figure 8. (ac): Comparison of EM stress at t = 5, t = 10, and t = 20 years, respectively. The stress at each node is shown as a tuple, with our AEKS-MM solution in black and the COMSOL solution in blue text. (d): The relative error between our proposed solution and the COMSOL solution at t = 20 years.
Figure 8. (ac): Comparison of EM stress at t = 5, t = 10, and t = 20 years, respectively. The stress at each node is shown as a tuple, with our AEKS-MM solution in black and the COMSOL solution in blue text. (d): The relative error between our proposed solution and the COMSOL solution at t = 20 years.
Electronics 14 02749 g008
Figure 9. Stress profile comparison along the first 27 segments of the selected line from ibmpg1 benchmark at t = 20 years.
Figure 9. Stress profile comparison along the first 27 segments of the selected line from ibmpg1 benchmark at t = 20 years.
Electronics 14 02749 g009
Figure 10. Transient EM stress response at the left boundary node under a periodic current density input, comparing AEKS-MM and COMSOL results over a 20-year simulation.
Figure 10. Transient EM stress response at the left boundary node under a periodic current density input, comparing AEKS-MM and COMSOL results over a 20-year simulation.
Electronics 14 02749 g010
Table 1. Results of EKS-MM and AEKS-MM vs. MM for industrial IBM power grids.
Table 1. Results of EKS-MM and AEKS-MM vs. MM for industrial IBM power grids.
Bench.Order#PortsROM
Order
MM EKS-MM AEKS-MM
Max
Error
Time
(s)
Max
Error
Error Red.
(%)
Time
(s)
Max
Error
Error Red.
(%)
Time
(s)
ibmpg1526952695381.1940.717 0.01438.570.917 0.45117.540.164
ibmpg21157651923840.4430.511 0.05774.380.841 0.12866.680.255
ibmpg338121138315320.2764.759 0.16136.986.492 0.16224.831.254
ibmpg463136148719480.91610.614 0.06675.8611.648 0.77453.841.634
ibmpg586648153821521.02614.223 0.14980.1216.721 0.56362.142.264
ibmpg6169476996628981.18220.958 0.72668.4627.608 0.97643.184.643
ibmpg7226083156328151.74737.488 0.36354.0140.965 0.77541.856.190
ibmpg8322951058034800.46459.590 0.08740.1666.272 0.14619.6410.593
Table 2. Results between the AEKS-MM method and COMSOL for large-scale IBM power grids.
Table 2. Results between the AEKS-MM method and COMSOL for large-scale IBM power grids.
Bench.Transient Analysis (Seconds) Speed-Up (×) Accuracy (%)
OriginalAEKS-MMCOMSOL OriginalCOMSOL OriginalAEKS-MM
ibmpg12.190.1924.49 11.50×128.58× 0.17%0.51%
ibmpg22.690.3179.57 8.78×259.52× 0.04%0.08%
ibmpg36.371.36141.83 4.70×104.58× 0.24%0.83%
ibmpg410.971.85176.92 5.92×95.40× 0.14%0.59%
ibmpg535.482.58184.87 13.74×71.57× 0.11%0.44%
ibmpg6121.825.35257.48 22.77×48.12× 0.06%0.07%
ibmpg7180.827.27318.45 24.88×43.81× 0.09%0.10%
ibmpg8281.7911.90522.83 23.67×43.92× 0.08%0.53%
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Stoikos, P.; Garyfallou, D.; Floros, G.; Evmorfopoulos, N.; Stamoulis, G. Fast Electromigration Analysis via Asymmetric Krylov-Based Model Reduction. Electronics 2025, 14, 2749. https://doi.org/10.3390/electronics14142749

AMA Style

Stoikos P, Garyfallou D, Floros G, Evmorfopoulos N, Stamoulis G. Fast Electromigration Analysis via Asymmetric Krylov-Based Model Reduction. Electronics. 2025; 14(14):2749. https://doi.org/10.3390/electronics14142749

Chicago/Turabian Style

Stoikos, Pavlos, Dimitrios Garyfallou, George Floros, Nestor Evmorfopoulos, and George Stamoulis. 2025. "Fast Electromigration Analysis via Asymmetric Krylov-Based Model Reduction" Electronics 14, no. 14: 2749. https://doi.org/10.3390/electronics14142749

APA Style

Stoikos, P., Garyfallou, D., Floros, G., Evmorfopoulos, N., & Stamoulis, G. (2025). Fast Electromigration Analysis via Asymmetric Krylov-Based Model Reduction. Electronics, 14(14), 2749. https://doi.org/10.3390/electronics14142749

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop