Next Article in Journal
A Novel Fast-Locking ADPLL Based on Bisection Method
Previous Article in Journal
Open-Loop Class-BD Audio Amplifiers with Balanced Common-Mode Output
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

0.5-V Frequency Dividers in Folded MCML Exploiting Forward Body Bias: Analysis and Comparison

by
Francesco Centurelli
1,*,
Giuseppe Scotti
1 and
Gaetano Palumbo
2
1
DIET (Dipartimento di Ingegneria dell’Informazione, Elettronica e Telecomunicazioni), University of Rome “La Sapienza”, 00184 Roma, Italy
2
DIEEI (Dipartimento di Ingegneria Elettrica Elettronica e Informatica), University of Catania, 95125 Catania, Italy
*
Author to whom correspondence should be addressed.
Electronics 2021, 10(12), 1383; https://doi.org/10.3390/electronics10121383
Submission received: 6 May 2021 / Revised: 4 June 2021 / Accepted: 7 June 2021 / Published: 9 June 2021
(This article belongs to the Section Microelectronics)

Abstract

:
Two frequency divider architectures in the Folded MOS Current Mode Logic which allow to operate at ultra-low voltage thanks to forward body bias are presented, analyzed, and compared. The first considered architecture exploits nType and pType divide-by-two building blocks (DIV2s) without level shifters, whereas the second one is based on the cascade of nType DIV2s with input level shifter. Both the architectures have been previously proposed by the same authors with higher supply voltages, but are able to work at a supply voltage as low as 0.5 V due to the threshold lowering allowed by forward body bias. For each architecture, analytical design strategies to optimize the divider under different operation scenarios are considered and a comparison among all the treated case studies is presented. Simulation results considering a commercial 28 nm FDSOI CMOS process are reported to confirm the advantages and features of the different architectures and design strategies. The analysis show that the use of the forward body bias allows to design frequency dividers which have the best efficiency. Moreover, we have found that the frequency divider architecture based on nType and pType DIV2s without level shifter provides always better performance both in terms of speed and power consumption approaching about 17 GHz of maximum operating frequency with less than 30 μ W power consumption.

1. Introduction

Thanks to its very low switching noise capability and to its intrinsic robustness, MOS current mode logic (MCML) is still a very popular digital circuit approach which finds use in a wide range of applications, from high-accuracy mixed-signal circuits to very high-speed integrated systems [1,2,3,4,5,6,7,8,9,10,11,12]. Indeed, in mixed-signal applications, the low switching noise dramatically reduces the digital noise induced on the analog circuits, and intrinsically also provides a better signal integrity [13,14].
Among the various MCML digital circuits and building blocks which are typically used in mixed-signal and high-speed applications, a key subsystem is the frequency divider which is often required in PLL-based frequency synthesizers, clock generators, high-speed SerDes subsystems and time-interleaved analog-to-digital converters [15,16,17,18,19,20,21,22,23,24,25].
Despite its features, traditional MCML has, as one of the most important issues to cope with, the dramatic power supply reduction in the recently developed deeply scaled technologies. Indeed, the possibility to stack several transistors adopted in the conventional MCML is strongly limited by the low voltage environment, making the conventional MCML unsuitable for supply voltages lower than 1 V.
To implement MCML topologies able to work with a reduced supply voltage, a solution based on a bipolar CML triple tail cell [26] was originally suggested and analyzed [27,28,29]. Moreover, recently, to implement a MCML suitable for low voltage operation, a folded strategy, which provides much better performance than the triple tail solution, was presented in [30].
Other design approaches were also presented which can allow a minimum supply voltage lower than the one of both the triple tail MCML and the folded MCML (FMCML), but they result advantageous when the gate fan-in is higher than three. In particular, the approach named multiple-tail current mode logic (MTCML) [31] provides a shallow depth (based on a different kind of folding with respect to the one used in the FMCML of [30]) and could halve the number of stacked stages, or even further reduce it, but for gate fan-in higher than three it cannot in general achieve a minimum supply voltage as low as the one of a MCML inverter. On the other hand, the novel multi-folded MCML, which generalizes the strategy of the FMCML, regardless of the gate fan-in, is able to work with a minimum supply voltage as low as the one of a MCML inverter [32].
Despite design strategies for MCML frequency dividers have been previously presented ([27,33]), these methodologies are not suited for FMCML implementations in deep submicron CMOS technologies. Recently, different architectures and design criteria to realize frequency dividers, exploiting the FMCML approach and targeting low-voltage, high speed and energy efficiency have been presented in [34,35]. In particular, the approach in [34] adopts the cascade of equal FMCML divide-by-two blocks with an input level shifter, while the approach in [35] exploits the cascade of complementary nType and pType divide-by-two frequency dividers in order to avoid the input level shifter, thus simplifying the topology and improving performance.
In order to further reduce the minimum supply voltage of FMCML latches to values as low as 0.5 V, body biasing techniques to lower the MOS threshold voltage, such as the dynamic threshold voltage (DTMOS) biasing [36] and the static forward body bias (FBB) [37,38], have been also investigated in [39]. The results in [39] show that the adoption of the FBB not only allows better performance than the DTMOS techniques, but, despite the allowed lower supply voltage, performs also better than the conventional FMCML.
In this paper, the FBB technique is exploited to implement ultra low-voltage FMCML frequency dividers. Moreover, the two different frequency divider architectures, previously proposed in [34,35], are in depth investigated and compared in this ultra-low voltage condition, in order to define which of them has to be used to better satisfy and optimize the design constraints in the different operation scenarios.
In the following, Section 2 introduces the divide-by-two blocks adopted in the two considered multi-stage frequency divider architectures: topologies, delay models and design methodologies are discussed. Section 3 summarizes the two frequency divider architectures and the different optimization strategies, providing guidelines and comparison from an analytical viewpoint. Section 4 reports the simulation results on the two considered architectures optimized both for maximum speed and minimum power delay product (PDP) which validate the analysis and design previously presented. A comparison against the state of the art is also included. Finally, some conclusions are reported in Section 5.

2. Divide-By-Two Frequency Divider (DIV2) Building Blocks

The main building block to implement a frequency divider is the DIV2, which can be implemented as a toggle flip-flop (TFF) whose T input is set to one (see Figure 1a) to toggle for each rising clock edge. However, in MCML implementations, where the complementary output is always available, the same behavior can be easily realized by using a D flip-flop (DFF) with the D input feed by the inverted output, as shown in Figure 1b.

2.1. FMCML Latch and FlipFlops Topologies

The topology of the conventional FMCML latch is depicted in Figure 2a, whereas Figure 2b shows the topology of the FMCML latch exploiting forward body bias (FBB) and the improved current mirror. The FBB technique is a widely adopted strategy not only in digital VLSI circuits (for example to cope with process parameter variations) [40,41], but also in analog design to improve circuit performance or lower the minimum allowable supply voltage [37,38].
The implementation of FBB requires a triple well CMOS technology, but it is worth noting that nowadays this is the standard for advanced CMOS processes.
In the FMCML latch scheme represented in Figure 2b, the improved current mirror which should be adopted in actual implementations is also explicitly indicated. In particular, transistors M7A and M8A equalize the drain-source voltages of M7 and M8 to strongly increase the current mirror accuracy under low voltage conditions, thus improving latch noise margin and propagation delay [30,39].
Starting from the topology in Figure 2b, the FMCML D Flip-Flop (DFF) with FBB has the scheme in Figure 3 [39]. This topology has the D and the clock inputs on NMOS and PMOS differential pairs, respectively, and will be denoted as nType DFF in the following. However, to implement the frequency divider architecture in [35], also the pType FMCML DFF (which has the D and the clock inputs on PMOS and NMOS differential pairs, respectively) is required. The topology of the pType FMCML DFF with FBB is reported in Figure 3b.

2.2. FMCML Latch Propagation Delay Model

Propagation delay from the clock input node to the output of the FMCML latch, tLATCH, is the key parameter to optimize the FMCML DFFs speed performance and to estimate the resulting frequency dividers performance. It can be calculated, as shown in [30,39], by using a linearized simplified model and the open-circuit time-constant method [42,43,44]. In particular, as detailed in [30], by inspection of the small-signal differential half-circuit model of the FMCML latch, we can find three main contributions to tATCH, which arise from the three circuit sections below (see Figure 2a):
  • The clock input sub part, which gives the time constant τ1 and includes the differential pair M1-M2, loaded by the diode connected devices M7-M8;
  • The folding section, given by the unity gain current mirrors and, in particular, the output transistors of current mirror M9-M10, which determines the time constant τ2;
  • The output section, which gives the time constant τ3 and is due to the differential pair M3-M4, loaded by the triode devices MD.
Thus, from these three contributions, whose detailed derivation can be found in [34,35], we get:
t L A T C H = ln 2 ( τ 1 + τ 2 + τ 3 )
Following the MCML design strategy reported in [45], it can be demonstrated, as shown in [34,35], that the first two time constants, τ1 and τ2, do not depend on the latch bias current ISS, while the third one, τ3, is constituted by a part which is inversely proportional to ISS, and another one which is constant (As shown in [34,35], inside τ3 there is a third contribution, named in those papers τRD, which is related to the kind of load adopted—a MOS in triode region or a resistance load [46]—and may have a slight ISS dependence. However, this contribution is in any case negligible). Hence, relationship (1) can be rewritten as:
t L A T C H = ln 2 ( τ c o n s t + C L e x Δ V I S S )
where Δ V = R D I S S is the half voltage swing, where RD is the equivalent resistance of the triode-biased MOS load MD, CLex is the extrinsic capacitive load (i.e., the load contribution which is not due to the latch itself, but to the circuit loading the latch) and
τ c o n s t = τ 1 + τ 2 + τ M O S 3
is the constant contribution, where τMOS3 includes also the time constant at the output node due to the intrinsic capacitive load, RDCLi. The intrinsic capacitive load CLi includes both the output capacitance of the DFF and the loading effect due to the DFF itself, that is closed in unitary feedback as shown in Figure 1b.

2.3. Level Shifter Propagation Delay Model

The frequency divider architecture presented in [34] is based on the cascade of nType DIV2s. In such configuration, the output of the i-th DIV2 (i.e., the output Q of the nType DFF) has to drive the clock input of the of the (i + 1)-th DIV2 (i.e., the C K input of the DFF). Since in the nType FMCL DFF depicted in Figure 3a the common mode voltage of the output Q is higher than the common mode voltage of the C K input, a source follower level shifter at the clock input of the DFF is needed in the nType DIV2 block for the architecture in [34] (see Figure 4).
Evaluation of the level shifter time constant and its inclusion in the DIV2 design is a key factor to achieve an optimized design. In particular, according to [30], the level shifter propagation delay is given by
t p L S = τ p ln 2
where the time constant τp can be divided into two contributions:
  • A constant part, τBconst, i.e., independent from the level shifter bias current, IB, which accounts for all the capacitances, unless the one, CLB, which loads the level shifter but extrinsic to it;
  • The contribution related to the level shifter extrinsic load (i.e., the clock input latch capacitance) which is inversely proportional to the level shifter bias current IB.
(The contribution due to the zero which arises by the circuit analysis is demonstrated to be negligible.)
Since it can be demonstrated that the input capacitance of the latch is linearly related to the latch bias current ISS, the level shifter propagation delay in (2) can be rewritten as:
t p L S = ( τ B c o n s t + τ B L e x K ) ln 2
where, like τBconst, also τBLex is independent from the level shifter bias current IB and K = IB/ISS is the ratio between the level shifter bias current and the latch bias current.

2.4. FMCML DIV2 Speed Performance

In general, the DIV2 maximum toggle frequency defines the speed performance of the static frequency divider [27,47]. Since the FMCML DFF in Figure 3 has a master–slave configuration (i.e., two cascaded D latches having counter-phase clock signals implemented through a single clock differential pair and current mirrors [39]), the static frequency divider speed performance is set by the clock-to-output propagation delay, tCKQ, of the DFF (strictly related to the propagation delay from the clock input node to the output of the FMCML DFF slave latch, tLATCH). Moreover, since the DIV2 is implemented through a unitary feedback DFF (Figure 1b), the signal at its clock input must exhibit a minimum period greater than two tCKQ for the DIV2 to properly work (starting from one clock edge, we need a tCKQ of the slave latch to obtain a stable output (the output of the master DFF) and another tCKQ from the input to have stable the intermediate output (the output of the master latch).
In order to analyze more in detail the dependence of tCKQ on design parameters, we consider two possible implementations of the DIV2 block:
  • DIV2 block implemented by a unitary feedback DFF without level shifter on the clock input (Figure 3);
  • DIV2 block implemented by a unitary feedback DFF with level shifter on the clock input (Figure 4).
Referring to Case 1, in which the DIV2 is realized with a DFF without the input level shifter, the tCKQ of the DFF is given by (2) (i.e., tCKQ = tLATCH), where CLex is the capacitive load due to the next DIV2. In general, especially for the applications under consideration, the constant part inside relationship (2) is dominant with respect the other one [34] and the DFF speed is almost constant versus ISS. Thus, even trying to optimize the DIV2 performance, the DFF bias current should be set as low as possible. However, in case the external load is too heavy, thus affecting too negatively the DIV2 speed performance, we can damp it by properly increasing the DFF bias current ISS.
For Case 2, in which the DIV2 includes the level shifter at the clock input to provide the required common mode voltage level shift, a wider design scenario is opened. In particular, the tCKQ of the DFF results:
t C K Q = t p L S + t L A T C H = ln 2 ( τ B c o n s t + τ c o n s t + τ B L e x K + C L e x Δ V I S S )
Again, we can consider the last term of (6) negligible; the bias current ISS can, therefore, be set as low as possible to minimize the power consumption without affecting speed and the only open variable to optimize the design is the ratio K, which in turn means to properly set the bias current of the input level shifter with respect to the minimum value of ISS. In particular, if we want the DIV2 with the minimum tCKQ, which means almost equal to τBconst + τconst, a sufficiently high K has to be set and a too high current consumption can be required.
On the other hand, a different design strategy can be pursued to set the most suited K parameter to minimize the power delay product (PDP) defined as the product between the power consumption of the DIV2 PDIV2 and the propagation delay t C K Q . of the DFF which implements the DIV2 itself. The power consumption of the DIV2 with the level shifter at the input (Figure 4) is given by:
P D I V 2 = ( 2 I B + 3 I S S ) V D D = ( 2 K + 3 ) I S S V D D
Thus multiplying (7) by the approximated (6), in which the last term is not considered, the DIV2 power delay product (PDP) versus K results with a hyperbolic curve whose minimum is:
K min , P D P = 3 2 τ B L e x τ B c o n s t + τ c o n s t
and is surely lower than one. Moreover, if we want to minimize the energy-delay product (EDP) (i.e., the optimum tradeoff between the energy per operation and speed), combining (7) and the approximated (6) we get:
E D P = P D P t C K Q = ( ln 2 ) 2 ( 2 K + 3 ) ( τ B c o n s t + τ c o n s t + τ B L e x K ) 2 I S S V D D
whose minimum, evaluated by setting to zero its derivative with respect to K ( 2 ( τ B c o n s t + τ c o n s t ) 2 K 3 2 [ τ B L e x + 3 ( τ B c o n s t + τ c o n s t ) ] τ B L e x K 6 τ B L e x 2 = 0 ), is
K min , E D P = ( τ B L e x τ B c o n s t + τ c o n s t ) 2 + 3 τ B L e x τ B c o n s t + τ c o n s t 3 τ B L e x τ B c o n s t + τ c o n s t = 2 K min , P D P

3. FMCML Frequency Divider Architectures

3.1. Architecture with nType and pType DIV2 without Level Shifters

A 2N static frequency divider can be implemented cascading N DIV2 building blocks, but, if we want to use the simplest DIV2 without the input level shifter, we cannot use the same DIV2 type for each stage. Indeed, considering an nType DIV2, the output common mode voltage, VCM,0, (equal to VDD−ΔV/2) is significantly higher than the maximum input common mode at the PMOS differential pair clock input (equal to VDD−|VTH|−2 VDSsat). For example, with a deep submicron CMOS technology with |VTH| about equal to 0.25 V, which can be easily achieved exploiting FBB, and assuming VDSsat to be about 50 mV and ΔV between 0.2–0.3 V, the difference between the maximum allowable common mode at the clock input and the output common mode (i.e., ΔV/2−|VTH|−2 VDSsat) results a negative value.
The problem discussed above can be solved by alternating in the frequency divider architecture nType and pType DIV2 stages [35] (see Figure 5), since the output common mode of the nType DIV2 is compatible with the maximum input common mode at the NMOS differential pair clock input and vice versa. Of course, since the speed of the first DIV2 is crucial for the maximum divider operating frequency, the first DIV2 has to be a nType one, since it has surely a tCKQ lower than the pType one, due to the lower transition frequency of PMOS devices.
After the first nType DIV2, the second stage is a pType DIV2, thus, in order to guarantee that the frequency divider speed performance is set by the first one, and naming tCKQ,nType and tCKQ,pType the propagation delays of the nType and pType DIV2, respectively, the following condition has to be satisfied:
t C K Q , n T y p e t C K Q , p T y p e 2
Moreover, since the DIV2 input capacitance is linearly related to the DIV2 bias current, expressing the DIV2 input capacitances at the clock inputs as:
C i n , p , n T y p e = c i n , p , n T y p e I S S , n T y p e
C i n , n , p T y p e = c i n , n , p T y p e I S S , p T y p e
from (2) we can write
t C K Q , n T y p e = ln 2 ( τ c o n s t + c i n , n , p T y p e I S S , p T y p e I S S , n T y p e Δ V )
In case we want to design the frequency divider for the maximum speed performance, hence the first nType DIV2 with the minimum tCKQ,nType, from (13) we have to set ISS,nType sufficiently higher than ISS,pType. As shown in [35], when in (13) ISS,nType = ISS,pType, 3/4 of the contribution to tCKQ,nType is due to τconst; hence, ISS,nType at least two times higher than ISS,pType allows a tCKQ,nType value very close to its minimum asymptotic value. Alternatively, if we want to minimize the PDP, which for this DIV2 without level shifters is equal to:
P D P D I V 2 _ u n b u f f = 3 I S S V D D t C K Q , n T y p e
the minimum allowable ISS,nType has to be used. Indeed, with the constant term τconst having the greatest weight on (13), from (14) the increase in power consumption with current is higher than the delay decrease.

3.2. Architecture Based on the Cascade of nType DIV2 with Input Level Shifter

In case we design a frequency divider using DIV2 blocks with a level shifter at the input, the simplest procedure is to use identical nType DIV2 blocks designed for one of the optimizing conditions: maximum speed, minimum PDP or minimum EDP. In particular, for all the three cases, ISS has its minimum allowable value, while K (i.e., the bias current of the common source input stage, IB) has to be set according to:
  • Highest speed, K = 2–3;
  • Minimum PDP, K given by (8);
  • Minimum EDP, K given by (10).
An efficient design strategy can be pursued by customizing the DIV2 blocks of the frequency divider, thus achieving the maximum speed performance with the minimum allowed power dissipation. Indeed, remembering that each DIV2 cell operates at a halved frequency with respect to the previous one, we can tune accordingly in each DIV2 the bias current of the level shifters. In particular, from (6) and neglecting the last term, we get:
( τ B c o n s t + τ c o n s t + τ B L e x K i ) = 2 ( τ B c o n s t + τ c o n s t + τ B L e x K i 1 )
Thus, assuming the first DIV2 designed for the maximum speed, i.e., K1 = 2, we can derive the Ki of the following stages from the relationship below (see Appendix of [34] for more details), whose αi values are summarized in Table 1
K i = τ B L e x τ B c o n s t + τ c o n s t α i

3.3. Preliminary Remarks and Comparison among the Topologies and Design Strategies

Preliminary considerations among the various frequency divider architectures and design strategies can be pursued comparing the results from the original papers in which the FBB was not implemented and the supply voltage was 0.8 V [34,35]. The comparison can outline the direction and potentiality of each approach that can eventually be further confirmed for the ultra-low voltage implementation allowed by the FBB technique.
Considering the frequency divider by 16 presented in [35], whose architecture exploits nType and pType DIV2 blocks without level shifters, two cases of interest can be considered. The first design case has the target of minimum PDP and the other one the maximum speed performance. In addition, a third design case, the minimum power one, could be considered, in which all the DIV2 bias currents are set to the minimum allowable value, but as shown in [35] this case is not of practical value for the too low speed performance achieved.
For the first design case, the first DIV2, which is an nType one, is designed for the minimum PDP (i.e., the minimum allowable ISS,nType is set for the first DIV2, equal to 5 μA). Moreover, to satisfy (11) the second DIV2, which is a pType one, has a slightly higher bias current (the optimal current is 7 μA in the considered design) and all the following DIV2 blocks regardless of their type have the minimum allowable bias current (i.e., 5 μA). In the second case, at the price of a higher power consumption, the first nType DIV2 is designed for the minimum propagation delay, which needs a bias current about equal to two times the optimal current of the following pType DIV2 (i.e., 14 μA) and all the other DIV2 blocks are biased with the same current level of the previous design case. The results in [35] show that the minimum PDP design reaches a maximum operating frequency of 10.5 GHz with a power consumption of 52.8   μ W , whereas the maximum speed desing operates up to 12.2 GHz with a power consumption of 74.4   μ W . It is worth noting that, as stated in [35], the divider power consumption increases linearly with the number of stages N and, unless for the initial offset due to the contribution of the first two DIV2 blocks, the increase is due to the power required by a minimum power DIV2.
Considering the frequency divider architecture based on the cascade of nType DIV2 blocks with a level shifter at the clock input discussed in [34], the inspection of the results reported for a divide-by-eight frequency divider shows that only the cases with customized DIV2 blocks according to (16) seem of interest, since the others, despite the simpler design procedure, have apparently a non-negligible price in terms of power consumption. From the results summarized in that paper (not reported for brevity), all have more power consumption than the cases with nType and pType DIV2. In addition, in this case, we have a power consumption which increases linearly with N, and the increase is heavier in terms of power consumption, due to the higher consumption level also in the minimum power DIV2 (mainly due to the level shifters bias current).
On the other hand, despite being more energy hungry, the architecture with a cascade of nType DIV2 with level shifters at the input is able to achieve the best speed performance if designed for this target. Of course, we expect almost the same behavior also when applying the FBB. To verify this point, we consider in the following both the divider architectures, and in particular the design cases of maximum speed and minimum PDP with customized DIV2 blocks, that seem to be the most significant.

4. Simulation Results and Comparison

In this section we report the simulation results of the frequency dividers by 16 based on the FMCML latches exploiting FBB, designed according to the different approaches and design guidelines described in the previous sections.
To quantitatively evaluate and compare the frequency divider architectures using DIV2 with FBB, the commercial 28 nm FD-SOI CMOS technology by STMicroelectronics [48] has been considered. The main parameters of this technology are summarized in Table 2. Since we are adopting an FDSOI CMOS process, the value of the body to source voltage is not limited by the forward biasing of bulk diodes and in this case VBBP can be set lower than ground and VBBN higher than VDD to maximize the threshold lowering effect due to FBB. In particular, VBBN has been set to 1 V and VBBP to −1.5 V in order to have VTN about equal to |VTP| around 0.25 V. The adopted values are in the range allowed by the technology (which is −3 V to 3 V as reported in [49]) and can be implemented by suitable back bias generators as in [50].
Simulations have been carried out in the Cadence Virtuoso environment by using the Spectre simulator and the accurate models provided by the IC manufacturer.
A commonly used FOM in the literature to compare the performance of frequency dividers is simply given by:
F O M 1 = f m a x P T O T
where f m a x is the maximum operating frequency and P T O T the total power consumption. However, F O M 1 is mainly adopted to compare divide-by-two frequency dividers; when dividers with different division factors NDIV have to be compared, some sort of normalization to the number of cascaded DIV2 building blocks is required. To allow a better comparison among the different designs, we have adopted also the figure of merit ( F O M 2 ) defined in (19) [35], that takes into account the maximum operating frequency, the total power consumption and the division factor NDIV (base-2 logarithm of the division factor is the number of DIV2 stages):
F O M = f max P T O T log 2 N D I V

4.1. Architecture with nType and pType DIV2 Without Level Shifters and Exploiting FBB

Both nType and pType DFFs (to implement the DIV2 blocks) have been designed exploiting FBB which allowed a supply voltage as low as 0.5 V; the voltage swing has been set to 0.6 V (ΔV = 0.3 V) and a triode-biased load has been exploited as load device. The minimum current to avoid operating the devices in subthreshold is in both cases 4 μA (note that lower power supply and use of the FBB allow a minimum current slightly lower that the value in the previous authors’ paper, equal to 5 μA), whereas the optimum current, corresponding to minimum size load devices and hence minimum propagation delay [46] is 6 μA and 5.5 μA, respectively, for nType and pType latches. Table 3 reports the sizing of the devices of the DFFs (see Figure 3) in the case of optimum bias current (hence minimum PDP).
The frequency divider architecture using nType and pType DIV2 blocks with FBB, as in Figure 5, follows the design procedure in [35] and summarized in the previous section. In particular, the bias current of the first nType DIV2 for the minimum PDP and the maximum speed design cases is set to 6 μA and 11 μA (twice the current of the pType DFF), respectively. The second pType DIV2 is biased at its optimum current of 5.5 μA, and the other two nType and pType DIV2 are biased at the minimum bias current that allows strong inversion operation, i.e., 4 μA. In these conditions the propagation delay t C K Q of the nType DFF biased at 11 μA loaded by the pType DFF biased at 5.5 μA has been found to be 31 ps, whereas the t C K Q of the nType DFF biased at 6 μA loaded by the pType DFF biased at 5.5 μA has been found to be 34 ps.
The bias currents together with the resulting divider performance are summarized in Table 4. It can be observed that in this case the advantage of biasing the first DIV2 stage for maximum speed is very limited (an increase on the maximum speed slightly lower than 5%). This is in agreement with the theoretical results in [35], where it is shown that the time constant τ 3 M O S , n T y p e is dominant and increasing I S S , n T y p e results only in a small improvement. Results in Table 4 also confirm that T C K , M I N , results a little bit lower than 2 t C K Q , as it has been pointed out in [35].

4.2. Architecture with Only nType DIV2 with Input Level Shifters and Exploiting FBB

The divide-by-16 frequency divider using only nType DIV2 blocks with input level shifters and FBB follows the guidelines described in [34] and summarized in the previous section. We have considered nType DFFs biased at the optimum current of 6 μA (design parameters are the same of the nType DFF discussed in the previous subsection); the input level shifters (see Figure 4) exploit FBB and feature devices with minimum gate length, and a gate width of 100 nA for each microampere of bias current IB. It has to be noted that the required voltage shift is slightly lower than the threshold value, thus forcing the level shifters to work in near threshold region: this requires a lower current density for such devices, hence, larger gate widths than the devices in the latches for similar current levels.
For the case study of the divider-by-16, only the procedures customizing DIV2s have been considered, in the light of the results reported in [34]. We have considered both the cases of maximum speed and minimum PDP to set the bias current of the first level shifter, whereas for the following stages we have used the design guideline given by (16); we have, however, limited the minimum current ratio K to 0.1 (i.e., in this case 600 nA bias current for each level shifter). The resulting bias current ratios K = IB/ISS together the divider performance are summarized in Table 5.
The output waveforms of the divide-by-16 frequency dividers reported in Table 4 and Table 5 are shown in Figure 6a,b respectively. Each plot contains the output of the maximum operating frequency design and that of the minimum PDP design, at the respective maximum operating frequency.

4.3. Effects of Process, Supply Voltage and Temperature Variations

In order to assess the robustness of the proposed circuits to process, supply voltage and temperature (PVT) variations, we have performed some parametric and corner simulations of the tCKQ of both the nType and pType DFFs which implement the first DIV2 stages of the maximum speed designs in Table 4 and Table 5.
The nType DFF biased with I S S = 11   μ A and loaded by a pType DFF biased with I S S = 5.5   μ A exhibits a tCKQ = 31.1 ps in typical conditions, which ranges from 33 ps to 28.5 ps when the temperature is swept between −20 °C and 120 °C. When the supply voltage is changed from 0.45 V to 0.55 V (±10% variation), tCKQ ranges from 37.5 ps to 29.5 ps.
The pType DFF biased with I S S = 5.5   μ A and loaded by a nType DFF biased with I S S = 4   μ A exhibits a nominal tCKQ = 85.6 ps, which ranges from 96.3 ps to 70.6 ps when the temperature is swept between −20 °C and 120 °C. When the supply voltage is changed from 0.45 V to 0.55 V, tCKQ ranges from 128 ps to 65 ps.
The nType DFF biased with I S S = 6   μ A with the input level shifter biased I B = 12   μ A and loaded by the following DIV2 stage (i.e., input level shifter biased with I B = 1.5   μ A and nType DFF biased with I S S = 6   μ A ) exhibits a tCKQ = 55.0 ps in typical conditions, which ranges from 51.0 ps to 55.5 ps when the temperature is swept between −20 °C and 120 °C. When the supply voltage is changed from 0.45 V to 0.55 V, tCKQ ranges from 41 ps to 55.1 ps.
As a further check, we have performed corner simulations and results are summarized in Table 6 for all the considered DFFs. Results of parametric and corner simulations confirm the robustness of the proposed dividers to PVT variations; some performance degradation when the supply voltage is 10% lower than the nominal value is evident in the pType DFF.
These results show a greater sensitivity of the pType DFF (hence of the nType/pType architecture) to supply voltage. In particular, reducing the supply voltage results in a net increase of tCKQ. This is probably due to some of the devices approaching the triode region, and could be contrasted by scaling the body bias voltages with the supply voltage to further reduce the threshold.

4.4. Final Comparison and Remarks

A comparison of the results in Table 4 and Table 5 shows that, in the case where FBB is used, the divider architecture based on the cascade of complementary DFFs without using level shifters provides both a higher maximum frequency and a lower power consumption. This is different from what observed in [34,35], where the use of level shifters allowed a higher maximum frequency at the expense of increased power, and is due to the fact that the low adopted supply voltage requires large devices in the level shifters to operate in near-threshold region. The level shifters are therefore no more able to minimize the loading effect of a DFF on the previous one in the cascade, and instead they add a contribution to the overall propagation delay tCKQ.
In particular, comparing the best FOMs of the dividers with only nType DIV2 blocks with input level shifters and the dividers with nType and pType DIV2 blocks without level shifters, it is found that for the latter architecture the FOM is about 65% higher than the other case. Moreover, comparing the best speed cases which allow the same speed performance for the two architectures, we find that he divider with nType and pType DIV2 blocks has a FOM which is close to 40% higher than the one of the topology with only nType DIV2 blocks.
Table 7 compares the performance of the proposed dividers with CMOS frequency dividers operating in the multi-GHz range. The comparison shows a very high efficiency for the FMCML dividers exploiting FBB, as highlighted by the FOM. Both the topologies with forward body bias are better than the original topologies in [34,35] without forward body bias both in terms of power consumption and speed. Moreover, especially for the frequency divider architecture using nType and pType DIV2 with FBB, the values are much better even with respect to a true single-phase clock (TSPC) static frequency divider implementation working at lower supply voltage. Frequency performance beyond 15 GHz is achieved, that is, however, lower than the one achieved by many other dividers in Table 7, optimized to work at very high frequencies.

5. Conclusions

Two frequency divider architectures in the MOS Current Mode Logic suited for ultra-low voltage operation are developed, analyzed and compared. In particular, both the architectures are based on the FMCML DFF in which forward body bias is exploited to further reduce the power supply at a value as low as 0.5 V. The adoption of forward body bias improves the original architectures previously proposed by the same authors in [34,35] and allows to achieve better performance both in term of power consumption and speed performance.
Four case studies, the two most significant for each architecture, of a divide-by-16 circuit have been presented referring to a 28 nm FDSOI CMOS process. The results, which are reported in Table 4 and Table 5, apparently demonstrate that, unlike when the forward body bias is not used, the dividers with nType and pType DIV2 blocks outperform the other architecture with only nType DIV2 blocks and input level shifters. Moreover, both the architectures, which are very suitable to realize frequency dividers in the 12–17 GHz range with a power consumption lower than 50 μW, show an efficiency in terms of the considered FOM significantly higher than that of the other dividers in the literature (see the results summarized in Table 7).

Author Contributions

Conceptualization, F.C., G.S. and G.P.; data curation, F.C. and G.S.; formal analysis, F.C., G.S. and G.P.; investigation, F.C., G.S. and G.P.; methodology, F.C., G.S. and G.P.; software, F.C. and G.S.; supervision, G.P.; validation, F.C. and G.S.; visualization, G.S.; writing—original draft, F.C., G.S. and G.P. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Data contained within this same article. Further simulation results available on request.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Maleki, M.; Kiaei, S. Enhancement source-coupled logic for mixed-mode VLSI circuits. IEEE Trans. Circuits Syst. Part II 1992, 39, 399–402. [Google Scholar] [CrossRef]
  2. Allstot, D.; Chee, S.; Kiaei, S.; Shristawa, M. Folded source-coupled logic versus. CMOS static logic for low-noise mixed-signal ICs. IEEE Trans. Circuits Syst. Part I 1993, 40, 553–563. [Google Scholar] [CrossRef]
  3. Mizuno, M.; Yamashina, M.; Furuta, K.; Igura, H.; Abiko, H.; Okabe, K.; Ono, A.; Yamada, H. A GHz MOS adaptive pipeline technique using MOS current-mode logic. IEEE J. Solid-State Circ. 1996, 31, 784–791. [Google Scholar] [CrossRef]
  4. Kundan, J.; Hasan, S. Enhanced folded source-coupled logic technique for low-voltage mixed-signal integrated circuits. IEEE Trans. Circuits Syst. Part II 2000, 47, 810–817. [Google Scholar] [CrossRef]
  5. Leung, B. VLSI for Wireless Communication; Prentice Hall: Hoboken, NJ, USA, 2002. [Google Scholar]
  6. Razavi, B. Prospect of CMOS technology for high-speed optical communication circuits. IEEE J. Solid-State Circ. 2002, 37, 1135–1145. [Google Scholar] [CrossRef]
  7. Razavi, B. Design of Integrated Circuits for Optical Communications; Mc Graw-Hill: New York, NY, USA, 2003. [Google Scholar]
  8. Alioto, M.; Palumbo, G. Design strategies for source coupled logic gates. IEEE Trans. Circuits Syst. Part I 2003, 50, 640–654. [Google Scholar] [CrossRef]
  9. Alioto, M.; Palumbo, G. Model and Design of Bipolar and MOS Current-Mode Logic: CML, ECL and SCL Digital Circuits; Springer: Berlin/Heidelberg, Germany, 2005. [Google Scholar]
  10. Yektaei, M.; Ghaznavi-Ghoushchi, M. PDP and TPD flexible MCML and MTCML ultralow-power and high-speed structures for wireless and wireline applications. IEEE Trans. VLSI Syst. 2020, 28, 1782–1795. [Google Scholar] [CrossRef]
  11. Rafiee, M.; Ghaznavi-Ghoushchi, M. Low-voltage and high-speed stand-alone multiple-input complex gates for error correction coding applications. Int. J. Circuit Theory Appl. 2021, 49, 921–937. [Google Scholar] [CrossRef]
  12. Srivastava, P.; Yadav, R.; Srivastava, R. Robust circuit implementation of 4-bit 4-tube CNFET based ALU at 16-nm technology node. Analog. Integr. Circuits Sig. Proc. 2021, 1–8. [Google Scholar] [CrossRef]
  13. Allstot, D.; Kiaei, S.; Zele, R.H. Analog logic techniques steer around the noise. IEEE Circuits Devices Mag. 1993, 9, 18–21. [Google Scholar] [CrossRef]
  14. Anis, M.; Allam, M.; Elmasry, M. Impact of technology scaling on CMOS logic styles. IEEE Trans. Circuits Syst. Part II 2002, 49, 577–589. [Google Scholar] [CrossRef]
  15. Yazdi, A.; Green, M.M. A 40-Gb/s full-rate 2:1 MUX in 0.18 μm CMOS. IEEE Trans. Microw. Theory Techn. 2011, 59, 2879–2887. [Google Scholar] [CrossRef]
  16. Lin, C.; Chien, T.; Wey, C. A 5.5-GHz 1-mW full-modulus-range programmable frequency divider in 90-nm CMOS process. IEEE Trans. Circuits Syst. Part II 2011, 58, 550–554. [Google Scholar] [CrossRef]
  17. Won, H.; Yoon, T.; Han, J.; Lee, J.-Y.; Yoon, J.-H.; Kim, T.; Lee, J.-S.; Lee, S.; Han, K.; Lee, J.; et al. A 0.87 W transceiver IC for 100 Gigabit Ethernet in 40 nm CMOS. IEEE J. Solid-State Circ. 2015, 50, 399–413. [Google Scholar] [CrossRef]
  18. Feng, C.; Yu, X.P.; Lim, W.M.; Yeo, K.S. A 40 GHz 65 nm phase-locked loop with optimized shunt-peaked buffer. IEEE Microw. Wirel. Comp. Lett. 2015, 25, 34–36. [Google Scholar] [CrossRef]
  19. Lee, J.; Chiang, P.; Peng, P.; Chen, L.; Weng, C. Design of 56 Gb/s NRZ and PAM4 SerDes transceivers in CMOS technologies. IEEE J. Solid-State Circ. 2015, 50, 2061–2073. [Google Scholar] [CrossRef]
  20. Chen, F.T.; Wu, J.-M.; Chang, M.C.F. 40-Gb/s 0.7-V 2:1 MUX and 1:2 DEMUX with transformer-coupled technique for SerDes interface. IEEE Trans. Circuits Syst. Part I 2015, 62, 1042–1051. [Google Scholar] [CrossRef]
  21. Huang, H.; Heilmeyer, J.; Grözing, M.; Berroth, M.; Leibrich, J.; Rosenkranz, W. An 8-bit 100-GS/s distributed DAC in 28-nm CMOS for optical communications. IEEE Trans. Microw. Theory Techn. 2015, 63, 1211–1218. [Google Scholar] [CrossRef]
  22. Shu, G.; Choi, W.S.; Saxena, S.; Talegaonkar, T.; Anand, M.; Elkholy, A.; Elshazly, A.; Hanumolu, P.K. A 4-to-10.5 Gb/s continuous-rate digital clock and data recovery with automatic frequency acquisition. IEEE J. Solid-State Circ. 2016, 51, 428–439. [Google Scholar]
  23. Jeong, G.S.; Kim, W.; Park, J.; Kim, T.; Park, H.; Jeong, D.K. A 0.015mm2 inductorless 32-GHz clock generator with wide frequency-tuning range in 28-nm CMOS technology. IEEE Trans. Circuits Syst. Part II 2017, 64, 655–659. [Google Scholar] [CrossRef]
  24. Hussein, A.I.; Vasadi, S.; Paramesh, J. A 450 fs 65-nm millimeter-wave time-to-digital converter using statistical element selection for all-digital PLLs. IEEE J. Solid-State Circ. 2018, 53, 357–374. [Google Scholar] [CrossRef]
  25. Kull, L.; Luu, D.; Menolfi, C.; Brändli, M.; Francese, P.A.; Morf, T.; Kossel, M.; Cevrero, A.; Ozkaya, I.; Toifl, T. A 24-72-GS/s 8-b time-interleaved SAR ADC with 2.0-3.3-pJ/conversion and >30 dB SNDR at Nyquist in 14-nm CMOS FinFET. IEEE J. Solid-State Circ. 2018, 53, 3508–3516. [Google Scholar] [CrossRef]
  26. Razavi, B.; Ota, Y.; Swartz, R. Design techniques for low-voltage high speed digital bipolar circuits. IEEE J. Solid-State Circ. 1994, 29, 332–339. [Google Scholar] [CrossRef]
  27. Alioto, M.; Mita, R.; Palumbo, G. Performance evaluation of the low-voltage CML D-latch topology. Integr. VLSI J. 2003, 36, 191–209. [Google Scholar] [CrossRef]
  28. Gupta, K.; Pandey, N.; Gupta, M. Analysis and design of MOS current mode logic exclusive-OR gate using triple-tail cells. Microelectron. J. 2013, 44, 561–567. [Google Scholar] [CrossRef]
  29. Gupta, K.; Pandey, N.; Gupta, M. MCML D-latch using triple-tail cells: Analysis and design. Act. Passiv. Electron. Compon. 2013, 2013, 217674. [Google Scholar] [CrossRef]
  30. Scotti, G.; Bellizia, D.; Trifiletti, A.; Palumbo, G. Design of low voltage high speed CML D-latches in nanometer CMOS technologies. IEEE Trans. VLSI Syst. 2017, 25, 3509–3520. [Google Scholar] [CrossRef]
  31. Ghaznavi-Ghoushchi, M.B.; Ejtahed, S.A.H. MTCML: Analysis, design and optimization of an alternative shallow-depth multiple-tail current mode logic. Microelectron. J. 2017, 67, 57–70. [Google Scholar] [CrossRef]
  32. Palumbo, G.; Scotti, G. A multi-folded MCML for ultra-low-voltage high-performance in deeply scaled CMOS. IEEE Trans. Circuits Syst. Part I 2020, 67, 4696–4706. [Google Scholar] [CrossRef]
  33. Nonis, R.; Palumbo, E.; Palestri, P.; Selmi, L. A design methodology for MOS current-mode logic frequency dividers. IEEE Trans. Circuits Syst. Part I 2007, 54, 245–254. [Google Scholar] [CrossRef]
  34. Centurelli, F.; Scotti, G.; Trifiletti, A.; Palumbo, G. Design of low-voltage power efficient frequency dividers in folded MOS current mode logic. IEEE Trans. Circuits Syst. Part I 2021, 68, 680–691. [Google Scholar] [CrossRef]
  35. Centurelli, F.; Scotti, A.; Palumbo, G. A very low voltage frequency divider in folded MOS current mode logic with complementary n- and p-Type Flip-Flops. IEEE Trans. VLSI Syst. 2021, 29, 998–1008. [Google Scholar] [CrossRef]
  36. Assaderaghi, F.; Parke, S.; Sinitsky, D.; Bokor, J.; Ko, P.K.; Hu, C. Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI. IEEE Trans. Electron Devices 1997, 44, 414–422. [Google Scholar] [CrossRef] [Green Version]
  37. Grasso, A.D.; Pennisi, S.; Scotti, G.; Trifiletti, A. 0.9-V class-AB Miller OTA in 0.35-μm CMOS with threshold-lowered non-tailed differential pair. IEEE Trans. Circuits Syst. Part I 2017, 64, 1740–1747. [Google Scholar] [CrossRef]
  38. Centurelli, F.; Monsurrò, P.; Parisi, G.; Tommasino, P.; Trifiletti, A. A 0.6V class-AB rail-to-rail CMOS OTA exploiting threshold lowering. IET Electron. Lett. 2018, 54, 930–932. [Google Scholar] [CrossRef]
  39. Scotti, G.; Trifiletti, A.; Palumbo, G. A novel 0.5 V MCML D-flip-flop topology exploiting forward body bias threshold lowering. IEEE Trans. Circuits Syst. Part II 2020, 67, 560–564. [Google Scholar] [CrossRef]
  40. Kapoor, A.; Hu, Y.; Bashirullah, R. A current-density centric logical effort delay and power model for high-speed CML gates. IEEE Trans. Circuits Syst. Part I 2013, 60, 2618–2630. [Google Scholar] [CrossRef]
  41. Singh, N.; Deb, S. Analysis and design guidelines for customized logic families in CMOS. In Proceedings of the International Symposium on VLSI Design and Test (VDAT), Ahmedabad, India, 26–29 June 2015. [Google Scholar]
  42. Cochrun, B.; Grabel, A. A method for the determination of the transfer function of electronic circuits. IEEE Trans. Circuit Theory 1973, 20, 16–20. [Google Scholar] [CrossRef]
  43. Millman, J.; Grabel, A. Microelectronics, 2nd ed.; Mc Graw-Hill: New York, NY, USA, 1987. [Google Scholar]
  44. Hajimiri, A. Generalized time- and transfer-constant circuit analysis. IEEE Trans. Circuits Syst. Part I 2010, 57, 1105–1121. [Google Scholar] [CrossRef]
  45. Alioto, M.; Palumbo, G. Power aware design techniques for nanometer MOS current mode logic gates: A design framework. IEEE Circuits Syst. Mag. 2006, 6, 41–59. [Google Scholar] [CrossRef]
  46. Centurelli, F.; Scotti, G.; Trifiletti, A.; Palumbo, G. Delay models and design guidelines for MCML gates with resistor or PMOS load. Microelectron. J. 2020, 99, 104755. [Google Scholar] [CrossRef]
  47. Fang, W.; Brunnschweiler, A.; Ashburn, P. An analytical maximum toggle frequency expression and its application to optimizing high-speed ECL frequency dividers. IEEE J. Solid-State Circ. 1990, 25, 920–931. [Google Scholar] [CrossRef]
  48. Golanski, D.; Fonteneau, P.; Fenouillet-Beranger, C.; Cros, A.; Monsieur, F.; Guillard, N.; Legrand, C.-A.; Dray, A.; Richier, C.; Beckrich, H.; et al. First demonstration of a full 28nm high-k/metal gate circuit transfer from bulk to UTBB FDSOI technology through hybrid integration. In Proceedings of the IEEE Symposium VLSI Circuits, Kyoto, Japan, 11–14 June 2013; pp. 124–125. [Google Scholar]
  49. Magarshack, P.; Flatresse, P.; Cesana, G. UTBB FD-SOI: A process/design symbiosis for breakthrough energy-efficiency. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 18–22 March 2013; pp. 952–957. [Google Scholar]
  50. Siddiqi, A.; Jain, N.; Rashed, M. Back-bias generator for post-fabrication threshold voltage tuning applications in 22nm FD-SOI process. In Proceedings of the International Symposium Quality Electronic Design (ISQED), Santa Clara, CA, USA, 13–14 March 2018; pp. 268–273. [Google Scholar]
  51. Deng, W.; Okada, K.; Matsuzawa, A. A 0.5-V, 0.005-to-3.2 GHz, 4.1-to-6.4 GHz LC-VCO using E-TSPC frequency divider with forward body bias for sub-picosecond-jitter clock generation. In Proceedings of the IEEE Asian Solid-State Circuits Conference (ASSCC), Beijing, China, 8–10 November 2010. [Google Scholar]
  52. Ghilioni, A.; Mazzanti, A.; Svelto, F. Analysis and design of mm-wave frequency dividers based on dynamic latches with load modulation. IEEE J. Solid-State Circ. 2013, 48, 1842–1850. [Google Scholar] [CrossRef]
  53. Lin, Y.-H.; Wang, H. A 35.7–64.2 GHz low power Miller divider with weak inversion mixer in 65 nm CMOS. IEEE Microw. Wirel. Compon. Lett. 2016, 26, 948–950. [Google Scholar] [CrossRef]
  54. Zhang, Y.; Wen, Z.; Hou, X. A 0.78mW inductor-less 21GHz CML frequency divider in 65nm CMOS. In Proceedings of the IEEE Information Technology, Networking, Electronic and Automation Control Conference (ITNEC), Chengdu, China, 15–17 March 2019; pp. 1395–1399. [Google Scholar]
  55. Tibenszky, Z.; Carta, C.; Ellinger, F. A 0.35 mW 70 GHz divide-by-4 TSPC frequency divider on 22 nm FD-SOI CMOS technology. In Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Atlanta, GA, USA, 7–9 June 2020; pp. 243–246. [Google Scholar]
Figure 1. DIV2: (a) based on TFF; (b) based on DFF.
Figure 1. DIV2: (a) based on TFF; (b) based on DFF.
Electronics 10 01383 g001
Figure 2. FMCML latch (a) conventional; (b) with FBB and improved current mirror.
Figure 2. FMCML latch (a) conventional; (b) with FBB and improved current mirror.
Electronics 10 01383 g002
Figure 3. FMCML D Flip-Flop with FBB: (a) nType topology; (b) pType topology.
Figure 3. FMCML D Flip-Flop with FBB: (a) nType topology; (b) pType topology.
Electronics 10 01383 g003
Figure 4. FMCML D flip-flop with FBB and input level shifter.
Figure 4. FMCML D flip-flop with FBB and input level shifter.
Electronics 10 01383 g004
Figure 5. Frequency divider architecture with nType and pType DIV2 blocks without level shifters.
Figure 5. Frequency divider architecture with nType and pType DIV2 blocks without level shifters.
Electronics 10 01383 g005
Figure 6. Output waveforms of the divide-by-16 frequency dividers with nType and pType DIV2 blocks without level shifters (a) and with only nType DIV2 with input level shifters (b). Solid lines refer to maximum speed design whereas dashed lines to minimum PDP design.
Figure 6. Output waveforms of the divide-by-16 frequency dividers with nType and pType DIV2 blocks without level shifters (a) and with only nType DIV2 with input level shifters (b). Solid lines refer to maximum speed design whereas dashed lines to minimum PDP design.
Electronics 10 01383 g006
Table 1. αi values.
Table 1. αi values.
i2345
αi 1 1 3 1 7 1 15
Table 2. The 28 nm FD-SOI CMOS technology: main process parameters.
Table 2. The 28 nm FD-SOI CMOS technology: main process parameters.
μ n C o x 210 μ A V 2
μ p C o x 78 μ A V 2
V T N * 0.3 V
| V T P * | 0.38 V
W m i n 80 nm
L m i n 28 nm
* Note that V T N and | V T P | can be tuned with body bias in a FDSOI technology.
Table 3. Design parameters for the DIV2 with FBB at minimum PDP.
Table 3. Design parameters for the DIV2 with FBB at minimum PDP.
nType DIV2pType DIV2
L28 nm28 nm
VDD500 mV500 mV
VCM,D350 mV150 mV
VCM,CK150 mV350 mV
VCM,Q350 mV150 mV
Δ V 300 mV300 mV
ISS6 μA5.5 μA
RD50 kΩ54.5 kΩ
W D / V C T L 82 nm/0 V87 nm/330 mV
W13900 nm192 nm
W1,2600 nm110 nm
W3A,4A,5A,6A144 nm275 nm
W3B,4B,5B,6B144 nm275 nm
W7A,8A144 nm550 nm
W7,8144 nm192 nm
W9A,10A,9B,10B144 nm192 nm
Table 4. Summary of dividers by 16 with nType and pType DIV2 without level shifters (with FBB).
Table 4. Summary of dividers by 16 with nType and pType DIV2 without level shifters (with FBB).
DIV 2   nType   I SS   ( μ A ) DIV 2   pType   I S S   ( μ A ) DIV 2   nType   I S S   ( μ A ) DIV 2   pType   I S S   ( μ A ) P o w e r ( μ W ) T C K , M I N ( p s ) f C K , m a x ( G H z ) FOM1 (GHz/mW)FOM2 (GHz/mW)
115.54436.755717.510611909
65.54429.256016.718562279
Table 5. Summary of dividers by 16 with only nType DIV2 with input level shifters (with FBB).
Table 5. Summary of dividers by 16 with only nType DIV2 with input level shifters (with FBB).
I S S   ( μ A ) K1/K2/K3,4 P o w e r ( μ W ) T C K , M I N ( p s ) f C K , m a x ( G H z ) FOM1 (GHz/mW)FOM2 (GHz/mW)
62/0.25/0.150.75717.58331384
60.33/0.25/0.139.788212.211111226
Table 6. Results of corner simulations.
Table 6. Results of corner simulations.
CornertCKQ nType
Without Level Shifter
tCKQ pType
Without Level Shifter
tCKQ nType
with Input Level Shifter
FF29.6 ps75.7 ps52.5 ps
FS31.2 ps90.1 ps59.0 ps
SF30.1 ps73.4 ps47.4 ps
SS33.2 ps95.1 ps55.0 ps
Table 7. Comparison with the literature.
Table 7. Comparison with the literature.
Ref.Arch.TechnologyVDDPTOTfMAXNDIVFOM1FOM2
[51]TSPC900.50.257.2228.828.8
[52]DFD3214.870429.229.2
[53]RFD650.41.664.2240.140.1
[24]MCML6516.2567421.421.4
[54]MCML651.30.7821.5227.627.6
[55]TSPC220.90.35702195195
TSPC220.40.024425.7210581058
[34]FMCML280.80.079610.98372411
FMCML280.80.110214.98266406
[35]FMCML280.80.052810.516875795
FMCML280.80.074412.216363656
This work
nType + level shifter
FMCML280.50.05717.5168331384
FMCML280.50.08212.21611111226
This work
nType + pType
FMCML280.50.0292516.71618562279
FMCML280.50.0367517.51610611909
nmVmWGHz GHz/mWGHz/mW
TSPC: static frequency divider exploiting true single-phase clock logic style; DFD: dynamic frequency divider; RFD: regenerative frequency divider; MCML: static frequency divider exploiting MCML logic style; ILFD: injection-locked frequency divider; FMCML: static frequency divider exploiting folded MCML logic style.
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Centurelli, F.; Scotti, G.; Palumbo, G. 0.5-V Frequency Dividers in Folded MCML Exploiting Forward Body Bias: Analysis and Comparison. Electronics 2021, 10, 1383. https://doi.org/10.3390/electronics10121383

AMA Style

Centurelli F, Scotti G, Palumbo G. 0.5-V Frequency Dividers in Folded MCML Exploiting Forward Body Bias: Analysis and Comparison. Electronics. 2021; 10(12):1383. https://doi.org/10.3390/electronics10121383

Chicago/Turabian Style

Centurelli, Francesco, Giuseppe Scotti, and Gaetano Palumbo. 2021. "0.5-V Frequency Dividers in Folded MCML Exploiting Forward Body Bias: Analysis and Comparison" Electronics 10, no. 12: 1383. https://doi.org/10.3390/electronics10121383

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop