Next Article in Journal
PVB/ATO Nanocomposites for Glass Coating Applications: Effects of Nanoparticles on the PVB Matrix
Next Article in Special Issue
Electrodeposition of Co-B/SiC Composite Coatings: Characterization and Evaluation of Wear Volume and Hardness
Previous Article in Journal
Rapid Processing of In-Doped ZnO by Spray Pyrolysis from Environment-Friendly Precursor Solutions
Previous Article in Special Issue
Synthesis and Morphological Characterization of Nanoporous Aluminum Oxide Films by Using a Single Anodization Step
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Self-Assembled Monolayers on Highly Porous Low-k Dielectrics by 3-Aminopropyltrimethoxysilane Treatment

1
Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan
2
Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan
3
Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan
*
Author to whom correspondence should be addressed.
Coatings 2019, 9(4), 246; https://doi.org/10.3390/coatings9040246
Submission received: 1 March 2019 / Revised: 1 April 2019 / Accepted: 8 April 2019 / Published: 11 April 2019
(This article belongs to the Special Issue Manufacturing and Surface Engineering II)

Abstract

:
Highly porous low-dielectric-constant (low-k) dielectric materials with a dielectric constant (k) less than 2.50 are needed for 32 nm and beyond technological nodes. In this study, a highly porous low-k dielectric film with a k value of 2.25, open porosity of 32.0%, and pore diameter of 1.15 nm were treated by 3-Aminopropyltrimethoxysilane (APTMS) in wet solution in order to form self-assembled monolayers (SAMs) onto it. The effects of the formation SAMs on the electrical characteristics and reliability of highly porous low-k dielectric films were characterized. As SAMs were formed onto the highly porous low-k dielectric film by APTMS treatment, the dielectric breakdown field and the failure time were significantly improved, but at the expense of the increases in the dielectric constant and leakage current. Moreover, the formation SAMs enhanced the Cu barrier performance for highly porous low-k dielectric films. Therefore, the SAMs derived from APTMS treatment are promising for highly porous low-k dielectric films to ensure better integrity.

1. Introduction

To improve the operation performance of integrated circuits (ICs), the dimensions of electronic devices are continuously being scaled-down to the deep submicron level. At this time, however, the interconnects-induced resistance-capacitance (RC) time delay is rapidly increasing, being a limiting factor for ICs performance [1,2,3]. To reduce RC time delay, Cu metal and a low-dielectric-constant (low-k) material are being used to replace the conventional Al metal and SiO2 film in the back-end-of-line (BEOL) interconnects. Compared to Al metal (~2.82 μΩ-cm), Cu metal (~1.70 μΩ-cm) can provide a lower resistivity by approximately 35% [4]. Low-k materials with the dielectric constant (k) lower than 3.9 (k of SiO2) can reduce the parasitic capacitance between metal lines [5,6]. Low-k materials can be achieved by the introduction of lower polarization chemical bonds to replace Si–O bonds, but the reachable minimum k-value is limited for this type of low-k material, being 2.8. Therefore, to further reduce k-value of low-k materials, reducing the film’s density by introducing nanometer pores into the matrix of the existed low-k material is another strategy. This is because air in the pore provides a minimum k value (~1.0). The produced low-k materials are porous, well-known as porous low-k dielectrics [6,7]. Owing to the presence of pores, the porous low-k dielectrics are mechanically and electrically weak, resulting in more challenges as they are integrated into BEOL interconnects [8,9].
Increasing porosity in the porous low-k dielectrics favors to decrease k-values, however, as the porosity reaches a critical value, the pores are open to the surface and connected internally. This provides a fast penetration pathway for gases, liquids, or other deposition precursors to enter the dielectric film, causing electrical characteristics and reliability of the porous low-k dielectrics [10,11]. As a result, for the successful integration of highly porous low-k dielectrics into the BEOL interconnects, pore-stuffing or pore-sealing processing is required as ways of plasma treatment, dielectric capping, or self-assembled monolayers (SAMs) [12,13,14,15].
Cu diffusing into the highly porous low-k dielectric is an increasingly important issue. The barriers therefore are needed to confine Cu wires. Traditionally, the used metal barriers are Ti(N), Ta(N), or Ru(N) with a relatively high resistivity compared to Cu, thereby increasing line resistance for Cu metallization [16,17]. This situation is getting worse with scaling of BEOL interconnects because a large fraction of the line-width is occupied by the metal barrier. Decreasing the metal barrier thickness is a straightforward strategy to avoid a huge increase in the line resistance, but the loss of Cu barrier performance is a concern to be pay attention.
It therefore necessitates the development of a thin layer formed at the surface of highly porous low-k dielectrics for sealing the pores and stopping Cu diffusion. The requirement of the formation layer is to minimize the increase of k-value for highly porous low-k dielectrics. SAMs have been proposed as a promising processing with sealing the pores and stopping Cu diffusion for highly porous low-k dielectrics [15]. With a very thin thickness (~<3 nm), SAMs have high compatibility with BEOL fabrication processes [15,18].
This study, therefore, proposes a process to form SAMs at the surface of highly porous low-k dielectrics (k = 2.25) by 3-Aminopropyltrimethoxysilane (APTMS; C6H17NO3Si) treatment in aqueous phase. The effects of the formation SAMs on the electrical characteristics and reliability of highly porous low-k dielectrics are evaluated. The barrier against Cu diffusion for the formation SAMs is investigated as well.

2. Experimental

The used highly porous low-k materials in this study are SiOCH films with a k value of 2.25, deposited on 300 mm p-type silicon wafers using plasma-enhanced chemical vapor deposition (PECVD, Applied Materials, Santa Clara, CA, USA) method [19]. The average pore size and porosity of the resulting highly porous low-k films are around 1.15 nm and 32.0%, respectively, which were determined from the isotherm of ethanol adsorption and desorption using ellipsometric porosimetry. The deposition thickness was controlled at 300 ± 10.0 nm, which was determined using an optical-probe system with an ellipsometer (Film TekTM 3000SE, High Point, NC, USA).
Then, the wafer was cleaved in 3 × 3 cm2 coupons and a thermal anneal at 200 °C for 1 min was performed to remove the adsorption moisture from the sample. The samples were immersed into a 85 °C SC-1 solution [deionized water: NH4OH (29%): H2O2 (28%) = 5:1:1], in an attempt to create active surface silanol functional groups. After immersion, the samples were rinsed thoroughly with deionized water and blown dry with a stream nitrogen gas. Following, the samples were immersed into the wet solution containing anhydrous toluene and 3-Aminopropyltrimethoxysilane (2.8 wt.%) for 1 h and 3 h at 70 °C in order to form SAMs at the surface of highly porous low-k dielectrics. Finally, a thermal anneal at 200 °C for 3 min was performed on the samples to remove the residues and moisture.
After the formation of SAMs on the highly porous low-k dielectrics, Cu film was deposited onto it to fabricate metal-insulator-silicon (MIS) capacitors for electrical characteristics and reliability measurements. Cu electrode in the MIS capacitor was prepared using a thermal evaporation method through a shadow mask. The formation thickness and area of Cu electrode were ~100 nm and 9.0 × 10−4 cm2, respectively. Capacitance–voltage (C–V), current–voltage (IV), and time-dependent-dielectric-breakdown (TDDB) were characterized. CV measurement was operated at the frequency of 1 MHz using a semiconductor parameter analyzer (HP4280A, Agilent technologies, Santa Clara, CA, USA). IV measurement was made by sweeping the voltage and the response leakage current was monitored. TDDB measurement was tested in a fixed electric-field to record the failure time, in which the monitored leakage current suddenly increases by at least three-orders of magnitude. The IV and TDDB measurements were performed using an electrometer (Keithley, 6517A, Austin, TX, USA). All measurements were performed at room temperature (25 °C).
In addition to electrical characterization, surface analyses were performed on the SAMs-formed samples. Water contact angle (WCA; Reme Hardt, Mode-100-00-230) was determined as the average of five measurements. Atomic force microscopy (AFM) was used to measure the surface morphology and root-mean-square (RMS) values. X-ray photoelectron spectroscopy (XPS; PHI 500, ULVAC-PHI, Inc., Chigasaki, Japanese), equipped with a monochromatized X-ray source of Al-Kα radiation (1486.6 eV), was used to analyze the changes in the surface structure of the porous low-k dielectrics before and after APTMS treatment.

3. Results and Discussion

The k value of a dielectric film can be determined by means of the measured accumulation capacitance obtained from C–V measurement, used in the expression of k = Cd0A. Here, C is measured capacitance, d is film thickness, and A is the area of the device. Figure 1 shows the determined k values of the studied highly porous low-k dielectrics before and after APTMS treatment. The results presented here are from 5 measurements on different MIS samples for each condition. The k value of pristine highly porous low-k dielectrics [donated as STD] was determined to be 2.25 ± 0.03. After APTMS treatment, the k value increased and the increasing magnitude was amplified with the treatment time. The k value of highly porous low-k dielectrics with APTMS treatment for 3 h [donated as AMTMS_3H] reached 2.96 ± 0.04. An increased k value can be attributed to the formation of SAMs on the surface of highly porous low-k dielectrics.
Figure 2 shows I–E plots of highly porous low-k dielectrics before and after APTMS treatment. By sweeping the voltage, the leakage current is monitored until breakdown. The breakdown is defined as the monitored leakage current suddenly increases at least three orders of magnitude. The electric-field was calculated by dividing the applied voltage by the thickness of highly porous low-k dielectrics. The behavior of the leakage current versus the applied electric field of highly porous low-k dielectrics was changed by APTMS treatment. The highly porous low-k dielectrics with APTMS treatment displayed a faster increase in the leakage current than the samples without APTMS treatment. Additionally, a higher leakage current was detected as the highly porous low-k dielectrics were immersed in APTMS solution with a longer immersion time. An increase in the leakage current for the APTMS solution-treated low-k dielectrics is attributed to the top modification layer or the formation of SAMs, which is suspected to be a fast path for electron conduction due to the interface-dominated.
In contrast, the highly porous low-k dielectrics after APTMS treatment exhibited an enhanced dielectric breakdown field. This enhancement was magnified with increasing the immersion time in APTMS solution, as shown in Figure 3. The results were collected from ten samples for each condition. The average value and 3δ variation (error bar) were shown. The average breakdown field of highly porous low-k dielectrics with APTMS treatment for 3 h was improved from 3.36 MV/cm (the breakdown field of the pristine sample) to 4.56 MV/cm. The opposite trend in the leakage current and breakdown field suggests that the leakage current is not a necessary condition to trigger the dielectric breakdown. A similar result was reported by Chen and Shinosky [20]. Their data showed that the leakage current of Cu liner-free samples was much lower than that of with-Cu liner samples. However, the breakdown voltages of Cu liner-free samples were considerably lower than that of with-Cu liner samples. The unique electrical characteristics for APTMS-treated highly porous low-k dielectrics may be attributed to Cu barrier and pore-sealing effects due to the formation SAMs [21]. For the highly porous low-k dielectrics without APTMS treatment, the diffused Cu ions during the deposition of Cu electrode can trap electrons to reduce the leakage current, however, they would accumulate to form a conduction path to accelerate the breakdown. Therefore, the formation SAMs on the highly porous low-k dielectrics by APTMS treatment blocks Cu diffusion. Moreover, the opened-pores at the surface of the highly porous low-k dielectrics are sealed, thus retarding the dielectric breakdown.
A long-term reliability of a dielectric is evaluated using a Time-Dependent Dielectric Breakdown (TDDB) test. In a TDDB test, a constant voltage (field) is applied on the MIS capacitor and the leakage current is monitored until breakdown. The breakdown is defined as the leakage current suddenly increases at least three orders of magnitude and the reached stressing time is recorded as the time-to-fail (TTF). Three different voltages (fields) were applied on the studied highly porous low-k dielectrics to evaluate the electric-field dependence TTF. Figure 4 compares the plots of the leakage current versus the stressing time for highly porous low-k dielectrics without or with APTMS treatment for 3 h. The highly porous low-k dielectrics after APTMS treatment exhibited a different behavior in the leakage current versus stressing time. For the pristine highly porous low-k dielectrics, the leakage current remained unchanged before breakdown during TDDB tests. In the case of APTMS-treated highly porous low-k dielectrics, the monitored leakage current remained constant in the first stressing period, and then decreased before breakdown. A decreasing leakage current can be attributed to the naturalization effect. As the highly porous low-k dielectric was treated by APTMS in wet solution, positive charges in the form of NH3+ bonds were generated at the film’s surface during the formation of SAMs (Evidenced as shown below). During a TDDB test, the injected electrons naturalize these positive charges, causing a decreasing leakage current.
Figure 5 plots the measured media TTFs as a function of the applied electric-field for highly porous low-k dielectrics without (full symbols) or with (open symbols) APTMS treatment for 3 h. Ten samples were measured for each condition. The measured TTFs decreased with the applied electric-field, indicating that the electric-field plays an important role in controlling the dielectric breakdown. Comparing with the measured TTFs of the pristine and APTMS-treated highly porous low-k dielectrics, the latter sample had larger TTFs, indicating that the breakdown reliability of the highly porous low-k dielectrics was enhanced by APTMS treatment due to the formation of SAMs. The pores in the dielectric film are considered to be defective sites and accelerate the dielectric breakdown [22]. For the highly porous low-k dielectrics with porosity larger than 30%, the neighboring pores are connected or interlinked to form opened-pores, causing more serious degradation in the dielectric breakdown. The SAMs on the highly porous low-k dielectrics derived from APTMS treatment help to seal and isolate nanosized pores, retarding the formation of the connecting conductive path during a TDDB test. As shown in Figure 5, the logarithm of the measured TTFs for both highly porous low-k dielectrics without and with APTMS treatment displayed a linear relationship with the applied electric-field, implying that the breakdown mechanism can be described by the E model. In the E model, the breakdown mechanism is field-assisted bonding breaking and the expression is assumed to be TTF∼exp(-γE) [23]. In this equation, γ is a field acceleration factor. The γ values were determined to be 1.38 and 1.41 for highly porous low-k dielectrics without and with APTMS treatment. An increased γ value for APTMS-treated highly porous low-k dielectrics helps further increase the dielectric failure time in a low operation field. In a field of 1.1 MV/cm, the failure time of APTMS-treated highly porous low-k dielectric is calculated to be 1064 times larger than that of the pristine sample. This indicates a highly reliable dielectric breakdown for highly porous low-k dielectrics is achieved by AMTMS treatment in aqueous phase in this study.
Cu easily penetrates into porous low-k dielectrics under thermal or electrical stress. The drifted Cu ion can induce permanent bond displacement and catalyze the bond breakage reaction in the dielectric film, resulting in degraded electrical characteristics and reliability [24,25]. The formation SAMs on the highly porous low-k dielectrics by APTMS treatment was stressed at different electric-fields at 25 °C for various times to evaluate its Cu barrier efficiency. After subjected to an electric stress at different intervals, C–V characteristics were measured to determine the shift of flat-band voltage (Vfb). For both highly porous low-k dielectrics without and with APTMS treatment after electric stress, their flat-band voltage shifted toward negative voltage, representing the diffusion of Cu ions into the dielectric film [26,27]. Figure 6 compares the shift magnitude of flat-band voltage under an electric stress at 1.0 or 2.0 MV/cm as a function of stressing time for highly porous low-k dielectrics without and with APTMS treatment. In cases of both highly porous low-k dielectrics without and with APTMS treatment, an electric stress at a larger field yielded a larger flat-band voltage shift, indicating for faster Cu ion injection at a larger electric field. Moreover, the shift continuously increased toward negative voltage with stressing time, meaning for continuously Cu ions injection into the dielectrics with stressing time. The shift was strong after relatively short periods of stressing time and then saturated for a longer stressing time. The decreasing Cu ion concentration gradient is responsible for this feature. Compared with the results of the highly porous low-k dielectrics without and with APTMS treatment, the APTMS-treated highly porous low-k dielectric displayed a smaller flat-band voltage shift, indicating for less Cu ions injection into this material. Therefore, Cu barrier capacity of the highly porous low-k dielectrics is strengthened by APTMS treatment. This also implies that the formation SAMs at the film’s surface by means of APTMS treatment has the function of blocking Cu ion diffusion.
The thermal stability of APTMS-treated highly porous low-k dielectrics was evaluated by a thermal stress at 450 °C for 30~120 min in an N2 ambient. After annealing, C–V and I–V characteristics were measured. Figure 7a–c plot the changes in the dielectric constant, leakage current at 2 MV/cm, and dielectric breakdown filed, respectively, with annealing time for highly porous low-k dielectrics without and with APTMS treatment. For the pristine highly porous low-k dielectrics without APTMS treatment, the measured electrical characteristics degraded with annealing time under an annealing at 450 °C. For the highly porous low-k dielectrics with APTMS treatment, a reduced dielectric breakdown field was detected, although, the decreasing magnitude was not as large as that of the pristine sample. Thermal annealing easily drives Cu atoms to diffuse into the porous dielectric, thus degrading the dielectric breakdown field. A lower degradation in the highly porous low-k dielectrics with APTMS treatment indicates again that the formation SAMs derived from APTMS treatment slowed down the thermal diffusion of Cu atoms. Bizarrely, for the highly porous low-k dielectrics with APTMS treatment, the dielectric constant decreased and the leakage current at 2 MV/cm slightly decreased with annealing time under an annealing at 450 °C. Therefore, a thermal annealing on the APTMS-treated highly porous low-k dielectric is required to optimize the electrical characteristics.
This study indicated that the formation SAMs by APTMS treatment improved Cu barrier ability and dielectric reliability for highly porous low-k dielectrics. The formation mechanism of SAMs derived from AMTMS treatment in aqueous solution was characterized by WCA, AFM, and XPS analyses. The water contact angle of the highly porous low-k dielectrics was 71°, then decreased to 17° after hydroxylation process, representing for the formation of hydrophilic Si–OH bonds at the film’s surface. By AMTMS treatment, the contact angle returned back to 69°. Therefore, the surface hydrophilic Si-OH bonds were replaced by hydrophobic groups from AMTMS. Moreover, the surface morphology of the highly porous low-k dielectrics was observed to be changed after APTMS treatment from AFM analysis, indicating that the film’s surface was modified (or SAMs formation). Additionally, the measured RMS values remained unchanged (~0.225 nm), implying that the formation SAMs at the surface of the highly porous low-k dielectrics is uniform.
Figure 8a,b display O 1s and N 1s XPS spectrum, respectively, of the highly porous low-k dielectrics after hydroxylation and APTMS treatment. The hydroxylated sample exhibited an O 1s main peak at a binding energy of 532.7 eV from bulk oxygen atoms (Si–O–Si, i.e., Si–O4) [27,28], along with a minor peak centered at 531.1 eV from surface silanol (Si–OH) groups [28]. After APTMS treatment, the peak of Si–OH groups obviously decreased, indicating that the transformed Si–OH groups were replaced. Moreover, the binding energy of Si–O–Si group shifted to 531.7 eV, corresponding to Si–O3 linkages [29]. For N 1s signal, no any peak was observed in the highly porous low-k dielectrics before and after hydroxylation. However, N 1s spectra appeared in the APTMS-treated highly porous low-k dielectric, confirming the formation of a highly oriented SAMs from APTMS. Deconvoluting N 1s peak can result in two peaks at binding energies of 399.3 eV and 401.2 eV, attributed to primary (–NH2) and protonated (–NH3+) amino groups, respectively [30,31]. Moreover, the higher the percentage of –NH2 groups (~82%) implies that the formation SAMs are highly oriented [31]. These N-related groups in the SAMs are benefit for Cu barrier [32].
According to the above analyses, the formation mechanism of SAMs derived by APTMS treatment in aqueous phase on the highly porous low-k dielectric (i.e., SiOCH film) can be expressed in the following schematic, as shown in Figure 9. First, in the hydration step, Si–CH3 bondings at the surface of SiOCH film were converted to Si–OH (silanol) groups by salinization reaction. Next, in the APTMS aqueous solution, AMTMS organic agents react with silanol groups on the salinized highly porous low-k surface, forming –O–Si–(CH2)3–NH2 end groups at the film surface. In the solution, some –NH2 groups accept H+ to form –NH3+ conjugated groups.

4. Conclusions

In this study, SAMs were formed on the highly porous low-k dielectrics by APTMS treatment in aqueous phase. The breakdown field and dielectric failure time of the highly porous low-k dielectrics can be significantly improved by means of the formed SAMs. Moreover, such SAMs have also demonstrated to be served as a barrier against Cu penetration. The formation SAMs improved the breakdown field and dielectric failure time for the highly porous low-k dielectrics. Moreover, it also has been demonstrated to be served as a Cu barrier. The expenses are the increases in the dielectric constant and leakage current. Therefore, the SAMs derived from APTMS treatment are promising for highly porous low-k dielectric films to ensure better integrity.

Author Contributions

Conceptualization, Y.-L.C.; Methodology, Y.-L.C.; Formal Analysis, C.-W.H., C.-Y.L.; Investigation, C.-W.H., C.-Y.L.; Validation, C.-W.H., C.-Y.L., G.-S.C.; Writing–Original Draft Preparation, Y.-L.C.; Writing–Review & Editing, Y.-L.C.; C.-S.H., J.-S.F.

Funding

This research received no external funding.

Acknowledgments

The author would like to thank the National Science Council of the Republic of China, Taiwan, for financially supporting this research under Contract No. MOST-106-2221-E-260-010.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Maex, K.; Baklanov, M.R.; Shamiryan, D.; Iacopi, F.; Brongersma, S.H.; Yanovitskaya, Z.S. Low dielectric constant materials for microelectronics. J. Appl. Phys. 2003, 93, 8793. [Google Scholar] [CrossRef]
  2. Shamiryan, D.; Abell, T.; Iacopi, F.; Maex, K. Low-k dielectric materials. Mater. Today 2004, 7, 34–39. [Google Scholar] [CrossRef]
  3. Grill, A. Porous pSiCOH ultralow-k dielectrics for chip interconnects prepared by PECVD. Annu. Rev. Mater. Res. 2009, 39, 49–69. [Google Scholar] [CrossRef]
  4. Grill, A.; Gates, S.M.; Ryan, T.E.; Nguyen, S.V.; Priyadarshini, D. Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art. Appl. Phys. Rev. 2014, 1, 011306. [Google Scholar] [CrossRef]
  5. Doniat, F.; Anderson, C.; Dussarrat, C.; McAndrew, J.; Opila, R.; Wright, B.; Yang, D. Development of low-k precursors for next generation IC manufacturing. Microelectron. Eng. 2012, 92, 34–37. [Google Scholar] [CrossRef]
  6. Hoofman, R.J.O.M.; Verheijden, G.J.A.M.; Michelon, J.; Iacopi, F.; Travaly, Y.; Baklanov, M.R.; Tokei, Z.; Beyer, G.P. Low-k dielectrics in the back-end of line. Microelectron. Eng. 2005, 80, 337–344. [Google Scholar] [CrossRef]
  7. Tsai, H.-C.; Chang, Y.-S.; Chang, S.-Y. Effect of plasma treatments on interface adhesion between SiOCH ultra-low-k film and SiCN etch stop layer. Microelectron. Eng. 2008, 85, 1658–1663. [Google Scholar] [CrossRef]
  8. Volksen, W.; Miller, R.D.; Dubois, G. Low dielectric constant materials. Chem. Rev. 2010, 110, 56–110. [Google Scholar] [CrossRef]
  9. Miller, R.D. In search of low-k dielectrics. Science 1999, 286, 421–423. [Google Scholar] [CrossRef]
  10. Furuya, A.; Ohtsuka, N.; Misawa, K.; Shimada, M.; Ogawa, S. Ta penetration into template-type porous low-k material during atomic layer deposition of TaN. J. Appl. Phys. 2005, 98, 094902. [Google Scholar] [CrossRef]
  11. Mosig, K.; Cox, H.; Klawuhn, E.; de Felipe, T.S.; Shiota, A. Integration of porous ultra low-k dielectric with CVD barriers. In Proceedings of the International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224), Washington, DC, USA, 2–5 December 2001. [Google Scholar]
  12. Shoeb, J.; Kushner, M.J. Mechanisms for sealing of porous low-k SiOCH by combined He and NH 3 plasma treatment. J. Vac. Sci. Technol. A 2011, 29, 051305. [Google Scholar] [CrossRef]
  13. Armini, S.; Prado, J.L.; Krishtab, M.; Swerts, J.; Verdonck, P.; Meersschaut, J.; Conard, T.; Blauw, M.; Struyf, H.; Baklanov, M.R. Pore sealing of k 2.0 dielectrics assisted by self-assembled monolayers deposited from vapor phase. Microelectron. Eng. 2012, 120, 240. [Google Scholar] [CrossRef]
  14. Cheng, Y.-L.; Kao, K.-C.; Huang, C.-J.; Chen, G.-S.; Fang, J.-S. Atomic layer deposition HfO2 capping layer effect on porous low dielectric constant materials. Appl. Surf. Sci. 2015, 354, 115–119. [Google Scholar] [CrossRef]
  15. Koehler, N.; Fischer, T.; Zimmermann, S.; Schulz, S.E. A plasma assisted in situ restoration process for sidewall damaged ULK dielectrics. Microelectron. Eng. 2016, 156, 116–120. [Google Scholar] [CrossRef]
  16. Zhou, Y.M.; He, M.Z.; Xie, Z. Diffusion barrier performance of novel Ti/TaN double layers for Cu metallization. Appl. Surf. Sci. 2014, 315, 353–359. [Google Scholar] [CrossRef]
  17. Steinhogl, W.; Schindler, G.; Steinlesberger, G.; Traving, M.; Engelhardt, M. Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller. J. Appl. Phys. 2005, 97, 0237061. [Google Scholar] [CrossRef]
  18. Uedono, A.; Armini, S.; Zhang, Y.; Kakizaki, T.; Krause-Rehberg, R.; Anwand, W.; Wagner, A. Surface sealing using self-assembled monolayers and its effect on metal diffusion in porous low-k dielectrics studied using monoenergetic positron beams. Appl. Surf. Sci. 2016, 368, 272–276. [Google Scholar] [CrossRef]
  19. Chen, G.-S.; Wu, D.-Y.; Chen, S.-T.; Cheng, Y.-L.; Fang, J.-S.; Yang, T.-M. Enhancement of Seeding and Electroless Cu Plating on TaN Barrier Layers: The Role of Plasma Functionalized Self-Assembled Monolayers. J. Electrochem. Soc. 2016, 163, D463–D468. [Google Scholar] [CrossRef]
  20. Chen, F.; Shinosky, M.A. Electron fluence driven, Cu catalyzed, interface breakdown mechanism for BEOL low-k time dependent dielectric breakdown. Microelectron. Reliab. 2014, 54, 529–540. [Google Scholar] [CrossRef]
  21. Tomita, T.; Utsunomiya, H.; Kamakura, Y.; Taniguchi, K. Hot hole induced breakdown of thin silicon dioxide films. Appl. Phys. Lett. 1997, 71, 3664. [Google Scholar] [CrossRef]
  22. Vanstreels, K.; Ciofi, I.; Barbarin, Y.; Baklanov, M. Influence of porosity on dielectric breakdown of ultralow-k dielectrics. J. Vac. Sci. Technol. B 2013, 31, 050604. [Google Scholar] [CrossRef]
  23. Mcpherson, J.W. Time dependent dielectric breakdown physics—Models revisited. Microelectron. Reliab. 2012, 52, 1753–1760. [Google Scholar] [CrossRef]
  24. McBrayer, J.D.; Swanson, R.M.; Sigmon, T.W. Diffusion of metals in silicon dioxide. J. Electrochem. Soc. 1986, 133, 1242–1246. [Google Scholar] [CrossRef]
  25. Choi, C.K.; Lee, H.S.; Navamathavan, R.; Woo, J.-K.; Kim, C.Y. Study of Cu diffusion behavior in low dielectric constant SiOC(–H) films deposited by plasma-enhanced chemical vapor deposition. Thin Solid Films 2010, 518, 6474–6477. [Google Scholar] [CrossRef]
  26. Fisher, I.; Eizenberg, M. Copper ion diffusion in porous and nonporous SiO2-based dielectrics using bias thermal stress and thermal stress tests. Thin Solid Films 2008, 516, 4111–4121. [Google Scholar] [CrossRef]
  27. Cui, N.-Y.; Liu, C.; Yang, W. XPS and AFM characterization of the self-assembled molecular monolayers of a 3-aminopropyltrimethoxysilane on silicon surface, and effects of substrate pretreatment by UV-irradiation. Surf. Interface Anal. 2011, 43, 1082–1088. [Google Scholar] [CrossRef]
  28. Alam, A.U.; Howlader, M.M.R.; Deen, M.J. Oxygen plasma and humidity dependent surface analysis of silicon, silicon dioxide and glass for direct wafer bonding. ECS J. Solid State Sci. Technol. 2013, 2, 515–523. [Google Scholar] [CrossRef]
  29. Shircliff, R.A.; Stradins, P.; Moutinho, H.; Fennell, J.; Ghirardi, M.L.; Cowley, S.W.; Branz, H.M.; Martin, I.T. Angle-resolved XPS analysis and characterization of monolayer and multilayer silane films for DNA coupling to silica. Langmuir 2013, 29, 4057–4067. [Google Scholar] [CrossRef]
  30. Jakša, G.; Štefane, B.; Kovač, J. XPS and AFM characterization of aminosilanes with different numbers of bonding sites on a silicon wafer. Surf. Interface Anal. 2013, 45, 1709–1713. [Google Scholar] [CrossRef]
  31. Chen, G.-S.; Yang, T.-M.; Chen, S.-T.; Cheng, Y.-L.; Fang, J.-S. A new alternative self-assembled-monolayer activation process for electroless deposition of copper interconnects without a conventional barrier. Electrochem. Commun. 2018, 87, 9–12. [Google Scholar] [CrossRef]
  32. Brady-Boyd, A.; O’Connor, R.; Armini, S.; Selvaraju, S.; Hughes, G.; Bogan, J. On the use of (3-trimethoxysilylpropyl)diethylenetriamine self-assembled monolayers as seed layers for the growth of Mn based copper diffusion barrier layers. Appl. Surf. Sci. 2018, 427, 260–266. [Google Scholar] [CrossRef]
Figure 1. Dielectric constant of highly porous low-k dielectrics without and with AMTMS treatment.
Figure 1. Dielectric constant of highly porous low-k dielectrics without and with AMTMS treatment.
Coatings 09 00246 g001
Figure 2. Comparison of I–E characteristics of highly porous low-k dielectrics without and with AMTMS treatment.
Figure 2. Comparison of I–E characteristics of highly porous low-k dielectrics without and with AMTMS treatment.
Coatings 09 00246 g002
Figure 3. Breakdown field of highly porous low-k dielectrics without and with AMTMS treatment.
Figure 3. Breakdown field of highly porous low-k dielectrics without and with AMTMS treatment.
Coatings 09 00246 g003
Figure 4. Comparison of leakage current versus stressing time plot under TDDB tests for highly porous low-k dielectrics without and with AMTMS treatment.
Figure 4. Comparison of leakage current versus stressing time plot under TDDB tests for highly porous low-k dielectrics without and with AMTMS treatment.
Coatings 09 00246 g004
Figure 5. TTFs as a function of the electric-field of highly porous low-k dielectrics without and with AMTMS treatment.
Figure 5. TTFs as a function of the electric-field of highly porous low-k dielectrics without and with AMTMS treatment.
Coatings 09 00246 g005
Figure 6. Flat-band voltage shift of highly porous low-k dielectrics without and with AMTMS treatment under electric stresses at 1.0 and 2 MV/cm as a function of stressing time.
Figure 6. Flat-band voltage shift of highly porous low-k dielectrics without and with AMTMS treatment under electric stresses at 1.0 and 2 MV/cm as a function of stressing time.
Coatings 09 00246 g006
Figure 7. Changes of (a) dielectric constant, (b) leakage current at 2 MV/cm, and (c) breakdown field; of highly porous low-k dielectrics without and with AMTMS treatment with annealing time at 450 °C annealing.
Figure 7. Changes of (a) dielectric constant, (b) leakage current at 2 MV/cm, and (c) breakdown field; of highly porous low-k dielectrics without and with AMTMS treatment with annealing time at 450 °C annealing.
Coatings 09 00246 g007
Figure 8. XPS spectra of (a) O 1s and (b) N 1s of highly porous low-k dielectrics after hydroxylation and AMTMS treatment.
Figure 8. XPS spectra of (a) O 1s and (b) N 1s of highly porous low-k dielectrics after hydroxylation and AMTMS treatment.
Coatings 09 00246 g008
Figure 9. Schematic of SAMs formation mechanism on highly porous low-k dielectrics.
Figure 9. Schematic of SAMs formation mechanism on highly porous low-k dielectrics.
Coatings 09 00246 g009

Share and Cite

MDPI and ACS Style

Cheng, Y.-L.; Haung, C.-W.; Lee, C.-Y.; Chen, G.-S.; Fang, J.-S. Self-Assembled Monolayers on Highly Porous Low-k Dielectrics by 3-Aminopropyltrimethoxysilane Treatment. Coatings 2019, 9, 246. https://doi.org/10.3390/coatings9040246

AMA Style

Cheng Y-L, Haung C-W, Lee C-Y, Chen G-S, Fang J-S. Self-Assembled Monolayers on Highly Porous Low-k Dielectrics by 3-Aminopropyltrimethoxysilane Treatment. Coatings. 2019; 9(4):246. https://doi.org/10.3390/coatings9040246

Chicago/Turabian Style

Cheng, Yi-Lung, Chiao-Wei Haung, Chih-Yen Lee, Giin-Shan Chen, and Jau-Shiung Fang. 2019. "Self-Assembled Monolayers on Highly Porous Low-k Dielectrics by 3-Aminopropyltrimethoxysilane Treatment" Coatings 9, no. 4: 246. https://doi.org/10.3390/coatings9040246

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop