Next Article in Journal
Performance of Toluene Removal in a Nonthermal Plasma Catalysis System over Flake-Like HZSM-5 Zeolite with Tunable Pore Size and Evaluation of Its Byproducts
Next Article in Special Issue
Role of Structure and Composition on the Performances of P-Type Tin Oxide Thin-Film Transistors Processed at Low-Temperatures
Previous Article in Journal
Electrochemical Characterization and Inhibiting Mechanism on Calcium Leaching of Graphene Oxide Reinforced Cement Composites
Previous Article in Special Issue
Recovery of Li(Ni0.33Mn0.33Co0.33)O2 from Lithium-Ion Battery Cathodes: Aspects of Degradation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Multi-Level Cell Properties of a Bilayer Cu2O/Al2O3 Resistive Switching Device

1
i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica, Portugal
2
Department of Materials and Earth Sciences, Technische Universität Darmstadt, Otto-Berndt-Straße 3, D-64287 Darmstadt, Germany
*
Author to whom correspondence should be addressed.
Nanomaterials 2019, 9(2), 289; https://doi.org/10.3390/nano9020289
Submission received: 31 December 2018 / Revised: 12 February 2019 / Accepted: 14 February 2019 / Published: 19 February 2019

Abstract

:
Multi-level resistive switching characteristics of a Cu2O/Al2O3 bilayer device are presented. An oxidation state gradient in copper oxide induced by the fabrication process was found to play a dominant role in defining the multiple resistance states. The highly conductive grain boundaries of the copper oxide—an unusual property for an oxide semiconductor—are discussed for the first time regarding their role in the resistive switching mechanism.

Graphical Abstract

1. Introduction

Two terminal resistive switches have motivated many studies on nanoscale data storage and neuromorphic applications due to their superior performance including high density, simple structure, fast programing, long retention, and low power consumption [1]. Conductive bridging random access memories (CBRAMs) are resistive switches which contain an electrochemically active metal electrode (Ag, Cu). It is widely accepted that programable metallization on the nanoscale within the switching medium causes bistable properties. To better control the localization and the diameter of conducting filaments (CFs) and improve the resistive switching uniformity and stability, bilayer devices (based on chalcogenides or oxides) consisting of a switching layer and a buffer layer were proposed some years ago [2,3]. Note that oxide bilayers are well compatible with complementary metal-oxide-semiconductor (CMOS) technology.
Among numerous oxide-based switching materials, Cu2O and Al2O3 have advantageous properties such as being abundant, of low cost, having low processing temperatures, are environmentally friendly, and in the case of Cu2O, electrochemically active. Aluminum oxide is widely applied as a dielectric of thin film transistors [4,5], thus a resistive switching device based on Cu2O/Al2O3 may decrease the processing steps for circuits such as one transistor-one resistor cells (1T-1R).
Various examples of resistive switching with a single Cu2O layer sandwiched between two electrodes have been reported. Starting in 1969, the disproportionation of Cu2O into Cu and CuO was considered to give rise to a copper filament [6,7]. In 1982 it was discussed that the Cu/Cu2O Schottky barrier was beneficial in creating reproducible switching events since it generates a region of comparatively high electric field [8]. However, the current blocking effect of a high barrier can also prevent switching from happening [9]. The most recent discussion of the switching mechanism concludes a filament of copper vacancies in the high conductance state and a Cu/Cu2O Schottky barrier in the low conductance state [10]. Others have reported multiple filaments of metallic copper; however, using devices with higher cell size and higher current than in the aforementioned work [11]. Note, that polycrystalline Cu2O was found to show highly conductive grain boundaries due to the presence of nanocrystalline CuO [12], causing the macroscopic conductivity to be corelated with the grain boundary density [13]. Another material in which defect segregation and increased conductivity in the grain boundary play a dominant role in resistive switching is HfO2 [14,15].
Thick insulators like Al2O3 and SiO2 do not conduct ions, but behave as electrolytes when sufficiently thin [16,17]. The result is a metallic filament growth from the inert electrode towards the active electrode. An opposite growth direction has also been reported both for Al2O3 [18] and SiO2 [19,20]. This mechanism appears to be favored when the metal is embedded as nanoclusters within the switching matrix.
Two distinct types of low resistance states (LRS) were observed in a ZrO2/Cu-based memory, which were accessible by different set voltages. At low set voltages, an ionic filament based on defects in the switching matrix ZrO2 is activated, whereas at a higher set voltage a metallic filament based on copper is formed. The ionic filament is reset in a unipolar fashion, whereas the metallic one is bipolar [21]. In another bilayer memory device based on AlOx/WOx, multi-level cell (MLC) programing was accessed by controlling the current compliance (CC) during the set operation. The MLC approach decreased the processing cost of bits/cells. The conduction mechanisms in the LRS were found to be metallic for high CC, electron-hopping between metallic precipitates for intermediate CC and Schottky emission at the Al/AlOx interface for low CC and low temperature [22]. Another approach to control the metallic filament formation in a CBRAM device is to limit the supply of the reactive species by insertion of a buffer layer or by alloying the copper with another element [23,24,25].
This work presents the resistive switching behavior of Al2O3/Cu2O bilayer devices. Taking into account previously published knowledge on the structural and electrical inhomogeneity of polycrystalline Cu2O [12,13], as well as on the interface between Al2O3 and Cu2O [26], allows to clarify the roles of the individual layers in the resistive switching observed in the devices.

2. Materials and Methods

The device structure is schematically represented in Figure 1. Polycrystalline Cu2O (93 nm) was deposited by reactive magnetron sputtering from a metallic copper target (Kurt J. Lesker Company, Jefferson Hills, PA, USA) on commercial indium-tin oxide (ITO) on glass (Corning Inc., Corning, NY, USA). Subsequently, Al2O3 (16 nm) was deposited by atomic layer deposition (ALD) using trimethylaluminum (SAFC Hitech Ltd., Bromborough, UK) and water as precursors. Metallic copper was located between the copper oxide and the aluminum oxide layer as a consequence of the ALD process. Detailed information on the preparation method of these layers can be found in Reference [26]. As top contacts, sputter-deposited platinum (Kurt J. Lesker Company, Jefferson Hills, PA, USA) was used with a diameter of 100 μm, patterned by a shadow mask, using a commercial sputter-coater (Quorum Technologies Ltd., Lewes, UK). The current–voltage (I–V) characteristics of the ITO/Cu2O/Al2O3/Pt devices were measured at room temperature in air using a Keithley 4200-SCS semiconductor parameter analyzer (Keithley Instruments LLC, Cleveland, OH, USA) connected to a Janis ST-500 probe station (Janis Research Company LLC, Woburn, MA, USA). The bias was applied to the top electrode. The delay time until forming was measured under application of a constant voltage in sampling mode with a sampling interval of 0.5 s, using a measurement speed optimized for low noise and high accuracy (delay factor 1.3, filter factor 3, automatic A/D integration time setting). Temperature-dependent measurements were done in vacuum using liquid nitrogen for cooling, controlled by a Lake Shore 336 temperature controller (Lake Shore Cryotronics Inc., Westerville, OH, USA).

3. Results

At first, the resistive switching template was tentatively formed by an electroforming process with low CC of 500 nA. Such a low current compliance allows for an extremely low power consumption of memory cells. The negative bias in voltage sweep mode was required to form the resistive switching memory device. The typical I–V behavior of the electroforming process is shown in Figure 2a. The memory cell reverted to the high resistance state (HRS) only by applying a positive voltage (reset) and the forming voltage was always higher than the required voltage for the set operation. The set and reset operating voltages are very low, <±1.5 V. An LRS/HRS ratio of 102 was obtained at the first programming cycles, which was reduced and stabilized to ~10 after continued cycling. Initially, the HRS was as low as the pristine state (i.e., the electrical characteristic of the as-fabricated device), mainly due to the full rupture of initial narrow filament or a non-complete forming process. With further cycling, the I–V characteristics showed an enhancement of current rectification in the LRS, whereas the HRS current level increased after a few cycles, giving rise to an LRS/HRS margin below one order of magnitude. The self-forming during the initial cycles led to a selector-less device performance, which was highly stable, as represented by the distribution of the resistance states in Figure 2b and the retention data after the stabilization in Figure 2c.
In order to shed light on the pre-forming process (prior to the stabilization during cycling), the delay time td until pre-forming under constant voltage was measured for different voltages [27]. Each device was initially in the pristine state and a CC of 500 nA was used (see Figure 3a). Larger delay times were observed for smaller voltages. The delay time had an exponential dependence on voltage (see Figure 3b). A good fitting was obtained with t0 = 2.14 × 105 s and γ = 3.91. The factor γ is referred to as a voltage acceleration parameter to a soft breakdown process [27] and higher values have already been reported for thinner films [28]. Similar results were reported for the breakage of a thin Al2O3 film [27]. This means, that the aluminum oxide plays a dominant role in the pre-forming process.
A multi-level cell (MLC) operation can be obtained by changing the set and reset conditions. To modulate stable MLC states, a higher LRS/HRS ratio is required to obtain distinguishable conductance states for a long retention time. One way to increase the LRS/HRS ratio is to increase CC. Figure 4a–c show the typical I–V characteristics of different resistance states under application of CCs of 10 µA, 50 µA, and 500 µA, respectively. The distribution of different resistance states during sweeping cycles are shown in Figure 4d. The highest cycling stability was observed for a CC of 50 µA. All resistance states show no degradation in data retention over 5 × 103 s, see Figure 4e. Note, that once the device sensed the 500 μA, the resistance states could not be well tuned for lower CC. The HRS was not dependent on the applied CC, suggesting an identical charge transport mechanism independent on the size of the filament.
Once the device is at a certain LRS, MLC can be also achieved by controlling the reset voltage. Figure 5a shows a typical I–V sweep under modulation of the reset voltage. Different conductance states are achieved after reset stop voltages of 0.5 V, 1 V, and 1.5 V. The conductance state distribution and the data retention of the MLC by controlling the reset voltages is shown in Figure 5b,c, respectively. Besides a good retention for all states, a higher spread of the conductance states was found for the HRS 1, compared to the LRS and HRS 2, which is discussed further below.
To investigate the MLC resistive switching behavior, the temperature dependence of the different states was studied, presented in Figure 6. Arrhenius plots of the HRS and the different LRS at CC 10 µA and 50 µA are shown in Figure 6a. A semiconducting behavior with good linear fits of the Arrhenius plots was obtained for the HRS and the LRS with a CC of 10 µA. The activation energies are Ea = 0.28 eV and Ea = 0.18 eV, respectively. When the CC was set for 50 µA, the current-temperature dependence still shows the semiconducting behavior, but no satisfactory linear fit was obtained, and the activation energy was too low to fulfill the conditions for the Boltzmann approximation. At high CC of 500 µA shown in Figure 6b, the conductance is decreased with the increase of temperature, which confirms a metallic conduction type. A positive temperature coefficient of 1.3 × 10−3 K−1 was obtained from fitting the results.

4. Discussion

The mechanism of the conductive filament formation shall now be discussed based on the above presented results. The initial stages of forming are dominated by the soft breakdown of Al2O3. Since this was observed with a CC of only 500 nA, the conclusion of an initial forming in the Al2O3 holds also for higher CC. Note, that since a similar acceleration parameter has been reported for Al2O3 without the presence of a metallic species [27], we cannot be entirely certain about the nature of the filament in Al2O3. Due to the presence of metallic copper at the interface between Al2O3 and Cu2O (either as nanoclusters or as very thin film) [26], a filament formation from the interface with Cu2O towards the Pt electrode is likely [19,20], although the presented electrical data does not allow to be conclusive here. Independently of the filament growth direction, a counter reaction at the Pt electrode is required to fulfill the charge neutrality condition. Since electroforming was conducted in ambient air, moisture is the most probable reactant [29].
With the breakage of aluminum oxide, the resistance values of the MLC LRS were modulated by the filament formation in copper oxide. This conclusion is based on the activation energies given in Figure 6a, which closely resemble typical values of copper oxide (see detailed discussion further below). Metallic copper is not present close to the bottom electrode, so ions are oxidized, which are bound in the copper oxide lattice. Since water is typically reduced at the cathode [29], it is not considered for the anode reaction. Since the copper ions in CuO are fully oxidized (assuming stoichiometric CuO), the oxidation reaction must involve monovalent ions from the Cu2O grains. The corresponding anode reaction is written in Kroeger–Vink notation:
C u C u × C u i + V C u + e
Once the interstitial copper is formed, it becomes a mobile species and migrates towards the cathode, where it is deposited as metallic copper. Copper ions in copper oxide are highly mobile through a vacancy-assisted mechanism [30]. Besides the divalent copper ions, copper vacancies are created. These are the main acceptor defects in Cu2O [31]. Due to the formation of another phase (metallic copper), the cathode reaction is written as an electrochemical half-reaction.
C u 2 + + 2 e C u
The growth of the filament during forming in length and probably also in thickness depends on the CC [11]. This is schematically illustrated in Figure 7. Note that the grain boundaries of Cu2O are significantly more conductive than the grains due to the presence of CuO [12,13]. For this reason, most likely the filament formation involves the grain boundaries, which is considered in the following.
At lowest CC (500 nA), a Schottky barrier was observed in the positive polarity and the HRS was not stable during the initial cycles. The Schottky barrier clearly shows that copper is in electrical contact with Cu2O [32]. The instability shows that there are multiple competing highly conductive paths, which most likely correspond to the grain boundaries of Cu2O. Due to the instability during cycling, the forming of the memory is considered incomplete with CC = 500 nA. When the CC is increased to 10 µA, semiconducting filaments are observed. The activation energy is too low to be related to Cu2O [33]. A Schottky barrier is not observed anymore. This means that the copper filament is in direct contact with the copper oxide grain boundaries, since the grain boundary has electrical properties similar to CuO [13]. The absence of band bending in CuO causes the non-rectifying contact [34]. Compared to CC = 500 nA, an increased LRS stability is observed which is further increased with an even higher CC of 50 µA. With CC = 50 µA, the activation energy drops below 3kT (which the Boltzmann constant k and the temperature T), most likely due to an increased doping level of the CuO in the grain boundary of Cu2O and/or the progressed filament growth towards a region of higher grain boundary density close to the substrate interface (see Figure 7). Using a CC of 500 µA, the filament becomes metallic. The temperature coefficient of 1.3 × 10−3 K−1 is similar to the one observed in copper nanowires [35]. Hence, this LRS corresponds to a situation in which the metallic copper filament has reached the ITO bottom electrode during forming.
Concerning the reset process, it is important to note that Al2O3 has a lower thermal conductivity than Cu2O [36,37]. This means that the energy created due to Joule heating of the filament dissipated more efficiently in Cu2O than in Al2O3. This leads to a preferential rupture in the Al2O3, independent on the CC used during forming. The diffusion of copper is higher in Cu2O than in Al2O3 [38]. Hence, in case the filament in Al2O3 is based on metallic copper, it will be thinner in Al2O3 compared to Cu2O. The comparatively high activation energy in the HRS may be caused by the Al2O3 or potentially by a contribution of the Cu2O near-surface region, which is a region of comparatively high activation energy. Furthermore, as copper ions are released from the filament during reset, they can annihilate copper vacancies in the surrounding Cu2O grains, causing a decreased intrinsic doping level, thus an increase in activation energy.
With the reset occurring in or close to the Al2O3, the set process will also happen here. This means that the switching itself is of pure electrochemical metallization (ECM) type; however, with the difference of having mostly copper oxide as anode material and just a small amount of metallic copper (at the Cu2O/Al2O3 interface). This amount of metallic copper is modulated by the CC during forming, as described earlier. Hence, the copper supply at the Cu2O/Al2O3 interface can impact the switching characteristics similar to devices using CuxTe1-x as active electrode [24], which is reflected here by the presented results: low copper amounts (equivalent to low CC) compromise the filament stability (see Figure 2), whereas higher copper amounts (higher CC) require an increased reset voltage (see Figure 4a–c).

5. Conclusions

The resistive switching mechanism of a bilayer system of Cu2O and Al2O3 was investigated. The main objective of this work was to discriminate between the contributions of each individual layer and to discuss how they affect each other. The outcome features valuable indications for future bilayer device design. The observed multi-level operation was controlled by the current compliance. A transition from a semiconducting filament to a metallic filament with increasing current compliance was observed. For the filament growth in Cu2O, a dual mechanism is proposed, which involves a valence change in the copper oxide at the anode and a metallization reaction at the cathode. The likely preferential filament rupture during reset in the Al2O3 layer confines the switching event to Al2O3. Consequently, the supply of the active metal is conditioned by the CC-dependent filament growth in the copper oxide during forming, which directly impacts cycling stability.

Author Contributions

Conceptualization, J.D. and A.K. (Asal Kiazadeh); methodology, A.K. (Asal Kiazadeh); validation, J.D. and A.K. (Asal Kiazadeh); formal analysis, A.K. (Asal Kiazadeh); investigation, J.D. and A.K. (Asal Kiazadeh); resources, A.K. (Andreas Klein), E.F. and R.M.; data curation, J.D. and A.K. (Asal Kiazadeh); writing—original draft preparation, J.D.; writing—review and editing, A.K. (Asal Kiazadeh), J.D. and E.F.; visualization, J.D and A.K. (Asal Kiazadeh); supervision, A.K. (Asal Kiazadeh) and E.F.; project administration, R.M. and E.F.; funding acquisition, A.K. (Andreas Klein), E.F. and R.M.

Funding

This research was funded by FEDER funds through the COMPETE 2020 Programme and National Funds through FCT—Portuguese Foundation for Science and Technology under project number POCI-01-0145-FEDER-007688, Reference UID/CTM/50025. J.D. acknowledges funding received from the European Union’s Horizon 2020 Research and Innovation Programme through the project HERACLES (Project No. 700395) and the German Science Foundation through the collaborative research center SFB 595 (Electrical Fatigue of Functional Materials). A. Kiazadeh acknowledges FCT for the postdoctoral grant SFRH/BPD/99136/2013 and for funding received through the project NeurOxide (PTDC/NAN-MAT/30812/2017).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Yang, J.J.; Pickett, M.D.; Li, X.; Ohlberg, D.A.A.; Stewart, D.R.; Williams, R.S. Memristive switching mechanism for metal/oxide/metal nanodevices. Nat. Nanotechnol. 2008, 3, 429–433. [Google Scholar] [CrossRef] [PubMed]
  2. Jana, D.; Roy, S.; Panja, R.; Dutta, M.; Rahaman, S.Z.; Mahapatra, R.; Maikap, S. Conductive-bridging random access memory: Challenges and opportunity for 3D architecture. Nanoscale Res. Lett. 2015, 10, 188. [Google Scholar] [CrossRef] [PubMed]
  3. Pei, Y.; Mai, B.; Zhang, X.; Hu, R.; Li, Y.; Chen, Z.; Fan, B.; Liang, J.; Wang, G. Performance improvement of amorphous indium-gallium-zinc oxide ReRAM with SiO2 inserting layer. Curr. Appl. Phys. 2015, 15, 441–445. [Google Scholar] [CrossRef]
  4. Robertson, J. High dielectric constant oxides. Eur. Phys. J. Appl. Phys. 2004, 28, 265–291. [Google Scholar] [CrossRef] [Green Version]
  5. Carlos, E.; Branquinho, R.; Kiazadeh, A.; Barquinha, P.; Martins, R.; Fortunato, E. UV-Mediated Photochemical Treatment for Low-Temperature Oxide-Based Thin-Film Transistors. ACS Appl. Mater. Interfaces 2016, 8, 31100–31108. [Google Scholar] [CrossRef] [PubMed]
  6. Cook, E.L. Model for the resistive-conductive transition in reversible resistance-switching solids. J. Appl. Phys. 1970, 41, 551–554. [Google Scholar] [CrossRef]
  7. Zarabi, M.J.; Satyam, M. Switching in copper oxide. J. Appl. Phys. 1974, 45, 775–780. [Google Scholar] [CrossRef]
  8. Wang, G.J.; Weichman, F.L. The temperature dependence of the electrical conductivity and switching phenomena in Cu2O single crystals. Can. J. Phys. 1982, 60, 1648–1655. [Google Scholar] [CrossRef]
  9. Yang, W.Y.; Rhee, S.W. Effect of electrode material on the resistance switching of Cu2O film. Appl. Phys. Lett. 2007, 91, 232907. [Google Scholar] [CrossRef]
  10. Yan, P.; Li, Y.; Hui, Y.J.; Zhong, S.J.; Zhou, Y.X.; Xu, L.; Liu, N.; Qian, H.; Sun, H.J.; Miao, X.S. Conducting mechanisms of forming-free TiW/Cu2O/Cu memristive devices. Appl. Phys. Lett. 2015, 107, 083501. [Google Scholar] [CrossRef]
  11. Singh, B.; Mehta, B.R.; Varandani, D.; Savu, A.V.; Brugger, J. CAFM investigations of filamentary conduction in Cu2O ReRAM devices fabricated using stencil lithography technique. Nanotechnology 2012, 23, 495707. [Google Scholar] [CrossRef] [PubMed]
  12. Deuermeier, J.; Liu, H.; Rapenne, L.; Calmeiro, T.; Renou, G.; Martins, R.; Muñoz-Rojas, D.; Fortunato, E. Visualization of nanocrystalline CuO in the grain boundaries of Cu2O thin films and effect on band bending and film resistivity. APL Mater. 2018, 6, 096103. [Google Scholar] [CrossRef]
  13. Deuermeier, J.; Wardenga, H.F.; Morasch, J.; Siol, S.; Nandy, S.; Calmeiro, T.; Klein, A.; Fortunato, E. Highly conductive grain boundaries in copper oxide thin films. J. Appl. Phys. 2016, 119, 235303. [Google Scholar] [CrossRef]
  14. Bersuker, G.; Yum, J.; Iglesias, V.; Porti, M.; Nafría, M.; Mckenna, K.; Shluger, A.; Kirsch, P.; Jammy, R. Grain boundary-driven leakage path formation in HfO2 dielectrics. In Proceedings of the 40th European Solid State Device Research Conference, Sevilla, Spain, 14–16 September 2010; pp. 333–336. [Google Scholar]
  15. Lanza, M. A review on resistive switching in high-k dielectrics: A nanoscale point of view using conductive atomic force microscope. Materials 2014, 7, 2155–2182. [Google Scholar] [CrossRef] [PubMed]
  16. Valov, I.; Waser, R.; Jameson, J.R.; Kozicki, M.N. Electrochemical metallization memories—fundamentals, applications, prospects. Nanotechnology 2011, 22, 254003. [Google Scholar] [CrossRef] [PubMed]
  17. Hubbard, W.A.; Kerelsky, A.; Jasmin, G.; White, E.R.; Lodico, J.; Mecklenburg, M.; Regan, B.C. Nanofilament Formation and Regeneration during Cu/Al2O3 Resistive Memory Switching. Nano Lett. 2015, 15, 3983–3987. [Google Scholar] [CrossRef] [PubMed]
  18. Celano, U.; Goux, L.; Belmonte, A.; Opsomer, K.; Franquet, A.; Schulze, A.; Detavernier, C.; Richard, O.; Bender, H.; Jurczak, M.; et al. Three-dimensional observation of the conductive filament in nanoscaled resistive memory devices. Nano Lett. 2014, 14, 2401–2406. [Google Scholar] [CrossRef]
  19. Yang, Y.; Gao, P.; Li, L.; Pan, X.; Tappertzhofen, S.; Choi, S.; Waser, R.; Valov, I.; Lu, W.D. Electrochemical dynamics of nanoscale metallic inclusions in dielectrics. Nat. Commun. 2014, 5, 1–9. [Google Scholar] [CrossRef]
  20. Tappertzhofen, S.; Hofmann, S. Embedded nanoparticle dynamics and their influence on switching behaviour of resistive memory devices. Nanoscale 2017, 9, 17494–17504. [Google Scholar] [CrossRef]
  21. Liu, M.; Abid, Z.; Wang, W.; He, X.; Liu, Q.; Guan, W. Multilevel resistive switching with ionic and metallic filaments. Appl. Phys. Lett. 2009, 94, 233106. [Google Scholar] [CrossRef]
  22. Zhang, Y.; Wu, H.; Bai, Y.; Chen, A.; Yu, Z.; Zhang, J.; Qian, H.; Zhang, Y.; Wu, H.; Bai, Y.; et al. Study of conduction and switching mechanisms in Al/AlOx/WOx/W resistive switching memory for multilevel applications. Appl. Phys. Lett. 2013, 102, 233502. [Google Scholar] [CrossRef]
  23. Belmonte, A.; Kim, W.; Chan, B.T.; Heylen, N.; Fantini, A.; Houssa, M.; Jurczak, M.; Goux, L. A thermally stable and high-performance 90-nm Al2O3/Cu-based 1T1R CBRAM cell. IEEE Trans. Electron. Devices 2013, 60, 3690–3695. [Google Scholar] [CrossRef]
  24. Goux, L.; Opsomer, K.; Degraeve, R.; Müller, R.; Detavernier, C.; Wouters, D.J.; Jurczak, M.; Altimime, L.; Kittl, J.A. Influence of the Cu-Te composition and microstructure on the resistive switching of Cu-Te/Al2O3/Si cells. Appl. Phys. Lett. 2011, 99, 053502. [Google Scholar] [CrossRef]
  25. Lim, S.; Lee, S.; Woo, J.; Lee, D.; Prakash, A.; Hwang, H. Effects of N-Doped GeSbTe Buffer Layer on Switching Characteristics of Cu/Al2O3-Based CBRAM. ECS Solid State Lett. 2015, 4, Q25–Q28. [Google Scholar] [CrossRef]
  26. Deuermeier, J.; Bayer, T.J.M.; Yanagi, H.; Kiazadeh, A.; Martins, R.; Klein, A.; Fortunato, E. Substrate reactivity as the origin of Fermi level pinning at the Cu2O/ALD-Al2O3 interface. Mater. Res. Express 2016, 3, 046404. [Google Scholar] [CrossRef]
  27. Rocha, P.R.F.; Kiazadeh, A.; De Leeuw, D.M.; Meskers, S.C.J.; Verbakel, F.; Taylor, D.M.; Gomes, H.L. The role of internal structure in the anomalous switching dynamics of metal-oxide/polymer resistive random access memories. J. Appl. Phys. 2013, 113, 134504. [Google Scholar] [CrossRef] [Green Version]
  28. Wu, E.Y.; Aitken, J.; Nowak, E.; Vayshenker, A.; Varekamp, P.; Hueckel, G.; McKenna, J.; Harmon, D.; Han, L.-K.; Montrose, C.; et al. Voltage-dependent voltage-acceleration of oxide breakdown for ultra-thin oxides. In Proceedings of the 30th International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2000; pp. 541–544. [Google Scholar]
  29. Valov, I.; Tsuruoka, T. Effects of moisture and redox reactions in VCM and ECM resistive switching memories. J. Phys. D Appl. Phys. 2018, 51, 413001. [Google Scholar] [CrossRef]
  30. Grzesik, Z.; Migdalska, M.; Mrowec, S. Chemical diffusion in non-stoichiometric cuprous oxide. J. Phys. Chem. Solids 2008, 69, 928–933. [Google Scholar] [CrossRef]
  31. Porat, O.; Riess, I. Defect chemistry of Cu2-yO at elevated-temperatures. Part II: Electrical Conductivity, Thermoelectric Power and Charged Point Defects. Solid State Ionics 1995, 81, 29–41. [Google Scholar] [CrossRef]
  32. Brattain, W.H. The Copper Oxide Rectifier. Rev. Mod. Phys. 1951, 23, 203–212. [Google Scholar] [CrossRef]
  33. Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; et al. Binary copper oxide semiconductors: From materials towards devices. Phys. Status Solidi B 2012, 249, 1487–1509. [Google Scholar] [CrossRef] [Green Version]
  34. Morasch, J.; Wardenga, H.F.; Jaegermann, W.; Klein, A. Influence of grain boundaries and interfaces on the electronic structure of polycrystalline CuO thin films. Phys. Status Solidi 2016, 213, 1615–1624. [Google Scholar] [CrossRef]
  35. Huang, Q.; Lilley, C.M.; Bode, M.; Divan, R.S. Electrical Properties of Cu Nanowires. In Proceedings of the 8th International Conference on Nanotechnology, Arlington, TX, USA, 18–21 August 2008; pp. 549–552. [Google Scholar]
  36. Cappella, A.; Battaglia, J.-L.; Schick, V.; Kusiak, A.; Lamperti, A.; Wiemer, C.; Hay, B. High Temperature Thermal Conductivity of Amorphous Al2O3 Thin Films Grown by Low Temperature ALD. Adv. Eng. Mater. 2013, 15, 1046–1050. [Google Scholar] [CrossRef]
  37. Timm, H.; Janek, J. On the Soret effect in binary nonstoichiometric oxides-kinetic demixing of cuprite in a temperature gradient. Solid State Ionics 2005, 176, 1131–1143. [Google Scholar] [CrossRef]
  38. Kumar, D.; Aluguri, R.; Chand, U.; Tseng, T.-Y. Enhancement of resistive switching properties in nitride based CBRAM device by inserting an Al2O3 thin layer. Appl. Phys. Lett. 2017, 110, 203102. [Google Scholar] [CrossRef]
Figure 1. Device structure in cross-sectional view. The inhomogeneities in the copper oxide are schematically shown in orange (CuO-containing grain boundaries) and red (metallic copper at the interface between Al2O3 and Cu2O).
Figure 1. Device structure in cross-sectional view. The inhomogeneities in the copper oxide are schematically shown in orange (CuO-containing grain boundaries) and red (metallic copper at the interface between Al2O3 and Cu2O).
Nanomaterials 09 00289 g001
Figure 2. I–V sweeps of forming with a current compliance (CC) of 500 nA (blue squares) and 1st (black) and 30th (red) cycles, as well as a few intermediate cycles in grey (a), corresponding distribution of resistance states (b) and retention over 5 × 103 s (c).
Figure 2. I–V sweeps of forming with a current compliance (CC) of 500 nA (blue squares) and 1st (black) and 30th (red) cycles, as well as a few intermediate cycles in grey (a), corresponding distribution of resistance states (b) and retention over 5 × 103 s (c).
Nanomaterials 09 00289 g002
Figure 3. Current with respect to time under different constant voltage bias (a). Delay time to pre-forming with respect to voltage (b). Two individual measurements are shown by the filled and empty symbols. The exponential fit is shown in red.
Figure 3. Current with respect to time under different constant voltage bias (a). Delay time to pre-forming with respect to voltage (b). Two individual measurements are shown by the filled and empty symbols. The exponential fit is shown in red.
Nanomaterials 09 00289 g003
Figure 4. Typical I–V cycles of devices formed at CCs of 10 µA (a), 50 µA (b), and 500 µA (c), respectively, corresponding distribution of low resistance states (LRS) states (d) and retention over 5 × 103 s (e).
Figure 4. Typical I–V cycles of devices formed at CCs of 10 µA (a), 50 µA (b), and 500 µA (c), respectively, corresponding distribution of low resistance states (LRS) states (d) and retention over 5 × 103 s (e).
Nanomaterials 09 00289 g004
Figure 5. I–V sweeps of set at CC of 50 µA and reset to two distinct high resistance states (HRS), (a) with the corresponding distribution of resistance states (b) and retention over 5 × 103 s (c).
Figure 5. I–V sweeps of set at CC of 50 µA and reset to two distinct high resistance states (HRS), (a) with the corresponding distribution of resistance states (b) and retention over 5 × 103 s (c).
Nanomaterials 09 00289 g005
Figure 6. Arrhenius plots with corresponding fits (red lines) of the HRS (blue triangles) and the different LRS at CC of 10 µA (green circles) and 50 µA (black squares) (a), linear fit with respect to temperature (red line) of the LRS at CC of 500 µA (black circles) (b).
Figure 6. Arrhenius plots with corresponding fits (red lines) of the HRS (blue triangles) and the different LRS at CC of 10 µA (green circles) and 50 µA (black squares) (a), linear fit with respect to temperature (red line) of the LRS at CC of 500 µA (black circles) (b).
Nanomaterials 09 00289 g006
Figure 7. Schematic representation of the switching mechanism at different CCs. The varying extent of the filament inside the copper oxide with different CCs is illustrated by the red arrows.
Figure 7. Schematic representation of the switching mechanism at different CCs. The varying extent of the filament inside the copper oxide with different CCs is illustrated by the red arrows.
Nanomaterials 09 00289 g007

Share and Cite

MDPI and ACS Style

Deuermeier, J.; Kiazadeh, A.; Klein, A.; Martins, R.; Fortunato, E. Multi-Level Cell Properties of a Bilayer Cu2O/Al2O3 Resistive Switching Device. Nanomaterials 2019, 9, 289. https://doi.org/10.3390/nano9020289

AMA Style

Deuermeier J, Kiazadeh A, Klein A, Martins R, Fortunato E. Multi-Level Cell Properties of a Bilayer Cu2O/Al2O3 Resistive Switching Device. Nanomaterials. 2019; 9(2):289. https://doi.org/10.3390/nano9020289

Chicago/Turabian Style

Deuermeier, Jonas, Asal Kiazadeh, Andreas Klein, Rodrigo Martins, and Elvira Fortunato. 2019. "Multi-Level Cell Properties of a Bilayer Cu2O/Al2O3 Resistive Switching Device" Nanomaterials 9, no. 2: 289. https://doi.org/10.3390/nano9020289

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop