Next Article in Journal
Multi-Scale Modeling of Doped Magnesium Hydride Nanomaterials for Hydrogen Storage Applications
Previous Article in Journal
Viscous Rheological Behavior of Nanosuspensions of Fumed Silica Nanoparticles and Cellulose Nanocrystals
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Highly Selective Isotropic Etching of Si to SiGe Using CF4/O2/N2 Plasma for Advanced GAA Nanosheet Transistor

1
College of Integrated Circuits, Micro-Nano Electronics, Fudan University, Shanghai 200433, China
2
School of Microelectronics, Fudan University, Shanghai 200433, China
*
Author to whom correspondence should be addressed.
Nanomaterials 2025, 15(19), 1469; https://doi.org/10.3390/nano15191469
Submission received: 24 August 2025 / Revised: 18 September 2025 / Accepted: 24 September 2025 / Published: 25 September 2025
(This article belongs to the Section Nanoelectronics, Nanosensors and Devices)

Abstract

The paradigm shift from FinFET to gate-all-around nanosheet (GAA-NS) transistor architectures necessitates fundamental innovations in channel material engineering. This work addresses the critical challenge of pFET performance degradation in GAA-NS technologies through the development of an advanced selective etching process for strain-engineered SiGe channel formation. We present a systematic investigation of Si selective etching using CF4/O2/N2 gas mixture in a remote plasma source reactor. It is demonstrated that the addition of N2 to CF4/O2 plasmas significantly improves the selectivity of Si to SiGe (up to 58), by promoting NO* radical-induced passivation layer disruption on Si surfaces. Furthermore, an increase in the F:O ratio has been shown to mitigate stress-induced lateral micro-trenching (“Si-tip”), achieving near-zero tip length at high CF4 flow (500 sccm) while retaining selectivity (>40). Transmission electron microscopy and energy-dispersive X-ray spectroscopy confirm the complete removal of the Si sacrificial layer with minimal SiGe channel loss, validating the process for high-performance SiGe GAA-NS FET integration. These findings provide critical insights into strain-engineered SiGe channel fabrication, enabling balanced NFET/PFET performance in next-generation semiconductor technologies.

1. Introduction

The relentless pursuit of transistor miniaturization in the semiconductor industry has driven the evolution of device architectures from planar transistors to FinFETs and, more recently, to gate-all-around nanosheet field-effect transistors (GAA-NS FETs) [1,2,3,4]. The primary challenges limiting further scaling down of FinFETs are maintaining high drive current, minimizing off-state leakage, and controlling short-channel effects [5,6,7]. GAA-NS FETs, characterized by vertically stacked Si/SiGe nanosheets, offer superior gate controllability and design flexibility [6]. When the transistor architecture transitions from FinFETs to GAAFETs, the dominant conducting surface of the channel shifts from the (110) crystal plane to the (100) plane [8]. This change leads to a significant reduction in hole mobility, accompanied by a concurrent increase in electron mobility. The resulting asymmetry exacerbates the inherent imbalance between n-type (NFET) and p-type (PFET) transistor currents, presenting critical challenges for circuit performance and power efficiency in advanced technologies.
It is evident that the hole mobility of compression-strained SiGe channels is two to three times higher than that of unstrained Si channels [9,10,11,12]. This has resulted in the extensive utilization of SiGe channel in FinFET-based nodes (e.g., TSMC’s 5 nm to 3 nm process) to address the degradation of PFET performance [13,14,15,16]. However, the transition to GAA architectures disrupts the direct applicability of conventional SiGe channel integration methods. The GAA-NS FETs are fabricated through the heteroepitaxial growth of alternating Si and SiGe layers, which are patterned and vertically recessed to expose the layers laterally. A highly selective isotropic etching process between the sacrificial layers and the target channel layers becomes essential. Several studies have been published on the use of SiGe as sacrificial layers to be selectively etched, for the fabrication of Si GAA-NS FETs [17,18,19,20,21]. The development of the reverse process remains comparatively underexplored. The selective etching of SiGe to Si is primarily facilitated by leveraging differences in chemical reactivity under halogen-based plasma conditions [22,23,24,25,26,27,28]. For example, the lower activation energy required to break Ge-Ge and Si-Ge bonds compared to Si-Si bonds enables selective SiGe removal in fluorine-rich environments [23]. Conversely, the selective etching of Si to SiGe is achieved through differences in oxidation layer formation, or the preferential development of an etch-inhibiting passivation layer on SiGe [29,30,31,32]. Recent studies have demonstrated that in CF4/O2 plasma, Ge exhibits a higher affinity for oxygen (O) than both Si and F. Furthermore, it has been established that incorporating nitrogen (N2) into the CF4/O2 plasma significantly enhances the selectivity of the etching process. Current integration approaches of SiGe GAA-NS FETs face a critical roadblock: the inability to selectively etch Si relative to SiGe with sufficient precision to preserve the SiGe channel geometry (i.e., little or no thinning, no rounding at the entrance of nanosheets, and so on).
This work presents a systematic investigation of Si selective dry etching based on a CF4/O2/N2 gas mixture, targeting the integration of strain-engineered SiGe channels in GAA-NS FETs. The process was developed in a remote plasma source (RPS) reactor. We explore the interplay between gas composition (O2, N2, and O:F ratio), etching selectivity, and etching profile. By correlating plasma conditions with surface reaction dynamics, we demonstrate that optimized N2 addition enhances selectivity of Si to SiGe, while optimized F:O ratio mitigates stress-induced Si-tip. The influence of elevated F:O ratios on Si-tip length was systematically investigated by modulating the CF4/O2 flow rates within a CF4/O2/N2 gas mixture via two distinct approaches. At fixed CF4 and N2 flows, the Si-tip length decreases monotonically with reduced O2 flow, accompanied by a concomitant loss of etch selectivity. Conversely, at fixed O2 and N2 flows, raising the CF4 flow shortens the Si-tip length monotonically, approaching complete suppression at 500 sccm. These findings indicate that enhancing CF4 concentration in the CF4/O2/N2 gas mixture effectively inhibits Si-tip formation while maintaining high Si-to-SiGe etching selectivity. Our findings provide critical insights into achieving SiGe channel definition with minimal geometry change, paving the way for balanced NFET/PFET performance in next-generation GAA-NS technologies.

2. Materials and Methods

In this study, a commercially available multilayer stacked Si/SiGe superlattice wafer was used to characterize the Si selective etching process. The wafer consists of Si layers with a thickness of 30 nm, SiGe layers with a thickness of 50 nm, and a Ge content of 25% in the SiGe layers. The Si/SiGe superlattices were epitaxially grown on Si substrates using Reduced Pressure Chemical Vapor Deposition (RPCVD) equipment, ensuring that the SiGe layers share the same crystal structure and closely matched lattice constants as the Si substrate. As a result, the Si layer remains unstrained, while the SiGe layer is subjected to compressive strain. The Si selective etching process was carried out on a 200 mm etching platform consisting of two reactors connected via a vacuum transfer chamber: an inductively couple plasma (ICP) reactor for anisotropic etching of the hard mask and Si/SiGe superlattices, and an RPS reactor for isotropic and selective etching of the Si. In the RPS reactor, the plasma is generated by the application of a microwave discharge (2.45 GHz) in a quartz tube. A showerhead separates the substrate from the plasma, blocking charged species while allowing neutral particles to pass through the holes in the shower plate. Therefore, the substrate is exposed solely to reactive neutrals, allowing isotropic and chemical-type etching.
The test structure and process flow that were designed for the study of the Si selective etching process are shown in Figure 1. The pattern structures were fabricated as follows: First, a 60 nm-thick SiN layer and a 90 nm-thick SiO2 layer were sequentially deposited on Si/SiGe superlattice wafer using plasma enhanced chemical vapor deposition (PECVD) to form the hard mask (Figure 1a). Electron beam lithography (EBL) was then used to define the patterns, which were designed as rectangular arrays with a pitch of 1 μm, a length of 20 μm, and a width of 500 nm (Figure 1b). The E-beam resist used in this work is Dow Corning’s XR-1541 (Dow Corning Corporation, Midland, MI, USA), developed in a standard aqueous developer (0.26 N TMAH). After that, the patterns were transferred sequentially to the hard mask and Si/SiGe superlattices using anisotropic etching processes, allowing the buried Si and SiGe layers to be exposed to the etching species (Figure 1c,d). The etching of the SiO2 hard mask was performed using plasma generated from a 200 sccm CF4/200 sccm CHF3/20 sccm O2/600 sccm He gas mixture (source power 700 W, bias power 200 W, pressure 15 mTorr, temperature 50 °C), while the SiN hard mask was etched with plasma from a 200 sccm CH3F/100 sccm O2/150 sccm He gas mixture (source power 400 W, bias power 180 W, pressure 15 mTorr, temperature 50 °C). The etching of the Si/SiGe superlattices involved CF4 for the breakthrough step, followed by 280 sccm Cl2/120 sccm HBr/500 sccm He for the main etch (source power 360 W, bias power 380 W, pressure 10 mTorr, temperature 50 °C). The etching of the SiO2 hard mask was performed using plasma generated from a CF4/CHF3/O2/He gas mixture, while the SiN hard mask was etched with plasma from a CH3F/O2/He gas mixture. The etching of the Si/SiGe superlattices involved CF4 for the breakthrough step, followed by Cl2/HBr/He for the main etch. The anisotropic etching processes were performed in the ICP reactor. To remove remaining by-products and the oxidized layer on the pattern surface, O2 plasma-based dry etching (200 sccm O2, source power 600 W, bias power 0 W, pressure 10 mTorr, temperature 50 °C, process time 20 s) and wet cleaning (dip in 1% DHF for 60 s) were performed. Finally, the Si/SiGe superlattices, cut into 2 × 2 cm coupon wafers, were fixed on an 8-inch carrier wafer and transferred to the RPS reactor for Si selective etching (Figure 1e). In the RPS reactor, the wafer is placed on an electrostatic chuck, which regulate the requisite temperature for the etching. It is notable that a number of the process parameters were maintained across all studies. For instance, the microwave source power was set to 1000 W, the chamber pressure was regulated to 1.2 Torr, and the chuck temperature was maintained at 25 °C.
Leveraging the multilayer Si/SiGe stack as test structure, it is possible to observe and evaluate the selectivity and etching rate of the process by scanning electron microscopy (SEM, HITACHI SU8030, Hitachi, Tokyo Japan) and transmission electron microscopy (TEM, Thermo Fisher Talos-F200, Thermo Fisher Scientific, Waltham, MA, USA). The etch amounts were determined by measuring the difference in the remaining Si and SiGe before and after the Si selective etching process, which was then used to calculate the etching rate and selectivity. Furthermore, no deliberate tilt was applied during the process of SEM imaging.

3. Results

In order to have a better understanding of the role of N2 addition to the CF4/O2 plasma, we have studied the relationship between selectivity of Si to SiGe and the N2 concentration fed in the gas mixture. When the flows of CF4 and O2 were set at 300 sccm and 400 sccm, respectively, the trends in the Si etching rate and selectivity for the Si selective etching process with different N2 flows (ranging from 0 to 200 sccm) are shown in Figure 2a. Figure 2b–d presents the cross-sectional SEM images corresponding to the varying N2 flows. In the absence of N2, the selectivity of the etching process is approximately 1, indicating that the CF4/O2 gas mixture-based etching process exhibits no selectivity between Si and SiGe. Upon introducing N2 into the CF4/O2 plasma, the selectivity of the process increases significantly. Specifically, when the N2 flow is 50 sccm, the Si etching rate is approximately 1.5 nm/s, and the selectivity of Si to SiGe reaches about 34. As the N2 flow rate is increased to 100 sccm, the Si etching rate rises to approximately 2.2 nm/s, and the selectivity increases to around 48. At 150 sccm N2 flow, the Si etching rate further increases to approximately 3.1 nm/s, with the selectivity reaching about 51. Finally, when the N2 flow is 200 sccm, the Si etching rate increases slightly to 3.3 nm/s, while the selectivity rises to 58. The results demonstrate that, within a certain range, increasing the N2 concentration fed in the CF4/O2 gas mixture enhances Si etching rate and selectivity of the process.
In the plasma based on CF4/O2, there are sufficient F* radicals and O* radicals. The F* radicals act as etchants and react with Si and SiGe of the superlattices to produce gaseous by-products SiF4 and GeF4 [24]. The O* radicals oxidize Si and SiGe, forming oxide layers SiOx and SiGeOx on the Si/SiGe superlattices surface [27]. The presence of oxide layers has been demonstrated to induce a passivation effect during the etching process. Furthermore, it has been demonstrated that when the by-products SiF4 and GeF4, which are generated during the process, are exposed to oxygen-rich plasma, a reaction layer is deposited on the surface of the pattern structure, leading to the cessation of the etching process [29]. The introduction of N2 into the CF4/O2 plasma altered the etchant species in the etching chamber. The newly introduced etchants, such as NO* radicals, have been shown to play a pivotal role in achieving high selectivity for Si to SiGe. The study by V. Caubet has suggested that NO* radicals can weaken the bond energy of Si-O bonds, facilitating their breakage and leading to the creation of new reactive sites on the Si surface [29]. It is reported that NO* have an energy of around 6 eV which is greater than the bonding energy of the oxide bonds. This mechanism allows F* radicals to combine more efficiently with Si atoms, thereby promoting the formation of volatile products and significantly accelerating the Si etching. The presence of O2 in the gas mixture leads to the generation of a significant amount of O* radicals within the etching chamber. It is important to note that the addition of N2 to CF4/O2 plasma enables a higher dissociation degree of O2 molecules, thereby resulting in a greater number of available O* radicals. These reactive O* radicals promote the formation of a reaction layer on the Si surface containing Si, O, and F elements (referred as a SixOyFz reaction layer). The reactive layer exerts a passivating effect, which can retard the etching of Si by F* radicals to a certain extent. However, upon the introduction of N2 into the CF4/O2 gas mixture, the generation of NO* radicals result in the rupture of the Si-O bond, leading to the formation of the gaseous byproduct NO2 and the creation of new reactive sites on the Si surface. These newly generated reactive sites are subsequently occupied by F* radicals, which then react with Si to form volatile SiF4 byproducts. The detailed reaction process of NO*-mediated disruption of the SixOyFz passivation layer, through which F* radicals are enabled to access the Si surface, is illustrated in Figure 3. This mechanism was originally proposed by V. Caubet et al. In summary, NO* radicals react with the SixOyFz reaction layer formed on the Si surface during the etching process, weakening or even eliminating the passivation effect of the reaction layer. It is well known that the bond energy of the Si-Ge bond (296 kJ/mol) is lower than that of the Si-Si bond (325 kJ/mol), making the Si-Ge bond more susceptible to breakage during the reaction [32]. Consequently, passivation layers (mixed layers of SixOyFz and GexOyFz) form on the SiGe surface earlier than on the Si surface. Moreover, the thicker passivation layer on the SiGe surface than on the Si surface was observed under the same conditions as reported by S. Rachidi et al. [32]. The SiGe etching rate is then greatly decreased compared to the Si etching rate, resulting in a high selectivity. However, it is unclear as to why the reaction layer formed on the SiGe surface would not interact with NO* radical in a similar manner and this has not yet been investigated to our knowledge. We hypothesize that the difference in composition between the passivation layers on Si and SiGe surfaces leads to their distinct reactions with NO* radicals—specifically, the passivation layer on the SiGe surface either does not react with NO* radicals or exhibits significantly weaker reaction intensity.
As demonstrated in the cross-sectional SEM image in Figure 2, it is evident that following the Si selective etching process, the etch profile of Si layers in the Si/SiGe superlattices exhibits the formation of the lateral micro-trench (hereafter referred to as “Si-tip”). In order to further analyze the microstructural features of the Si-tip, the sample corresponding to the 200 sccm N2 flow was recharacterized using TEM, and the cross-sectional TEM image are shown in Figure 4. The Si-tips formed by the Si layers between the SiGe layers are bilaterally tapered, while the Si-tips formed by the top Si layer show single-sided tapered. The etch profile of the Si layer does not demonstrate consistency with the isotropic etching characteristic exhibited by the radical-based chemical etching process, thus indicating that the transverse etching rate of the Si layer in the stacking direction varies during the process. This variation is identified as the primary cause of the Si-tip formation.
In the Si/SiGe superlattice wafer, the lattice mismatch between Si and SiGe induces compressive stresses in the SiGe layers. After transferring the pattern to the Si/SiGe superlattices, the emergence of the free edges lead to a partial release of the compressive stress in the SiGe layers [33,34,35,36,37]. The stress release exerts a stress effect on the adjacent Si layers, transforming it from an unstressed state to one of tensile stress [35,37]. Since the tensile stress in the Si layer originates from the stress release of the adjacent SiGe layer, the regions of the Si layer closer to the SiGe layer experience greater stress. Conversely, the central region of the Si layer is subjected to the least stress in the stacking direction. Experimental results reveal a positive correlation between the stress and the Si etching rate: areas experiencing higher stress, such as those near the SiGe layer, exhibit a faster etching rate, while areas under lower stress, such as the central part of the Si layer, have a relatively slower etching rate. The portion of the top Si layer adjacent to the hard mask is relatively less influenced by the stress of the SiGe layer, resulting in a relatively small Si etching amount in this region of the Si/SiGe superlattices. The Si substrate, which remains largely unaffected by the stress of the SiGe layer, exhibits minimal etching amount. Therefore, we speculate that the Si etching rate is stress-dependent, with stress enhancing the Si etching rate during the selective etching process and contributing to the formation of the Si-tip. However, the effect of stress on the intrinsic mechanisms of the Si etching process remains unclear. Christopher et al. have demonstrated that the modulation of the length of the Si-tip was accomplished by means of varying the F:O ratio in the etching chamber, by adjusting the flow ratio of NF3 and O2 in the NF3/O2/N2 gas mixture [30]. Furthermore, it was observed that the length of the Si-tip exhibited a significant decreasing trend as the F:O ratio in the etching chamber increased.
In this study, the effect of increasing the F:O ratio on the Si-tip length is investigated by adjusting the CF4 and O2 flow ratios in the CF4/O2/N2 gas mixture using two different approaches. In the first approach, the CF4 and N2 flows were kept constant while the O2 flow in the gas mixture was decreased. Figure 5 quantifies the O2 flow dependence of Si-tip formation and the selectivity of Si to SiGe. When the O2 flow was reduced from 400 sccm to 200 sccm, the Si-tip length decreased from 33 nm to 24 nm, accompanied by a significant decline in selectivity from 58 to 46. A further reduction in the O2 flow to 100 sccm resulted in a sharp deterioration in selectivity to below 20, while the Si-tip length remained approximately 16 nm. The etching mechanism in CF4/O2/N2 plasma involves a critical balance between etching and deposition processes. Experimental results demonstrate that reducing O2 flow decreases the concentration of reactive O* radicals, which subsequently: (1) enhances the direct interaction of F* radicals with Si surfaces, increasing the Si etching rate; and (2) reduces the oxidation of etching by-products, thereby diminishing passivation layer deposition. This shift toward etching-dominated reactions weakens stress-dependent etching effects, as evidenced by the progressive reduction in Si-tip length with decreasing O2 flow. The observed inverse correlation between O2 flow rate and Si etching rate (from 1.5 nm/s at 400 sccm to 3.3 nm/s at 200 sccm) confirms this mechanistic transition.
In another approach, the O2 and N2 flows were kept constant while the CF4 flow in the gas mixture was increased. Figure 6 shows the cross-sectional SEM images corresponding to the CF4 flow of 400 sccm and 500 sccm. As the CF4 flow increases, the length of the Si-tip gradually decreases, and when the CF4 flow reaches 500 sccm, the length of the Si-tip is almost zero. It has been calculated that, when the CF4 flow is set at 400 sccm, the Si etching rate is approximately 4.1 nm/s, and the selectivity of Si to SiGe is approximately 44. Upon increasing the CF4 flow to 500 sccm, the Si etching rate rises significantly to 6.0 nm/s, while the selectivity exhibits a marginal decline to 39, which remains well within the acceptable range for practical applications. The results demonstrate that increasing the CF4 concentration in the CF4/O2/N2 gas mixture effectively suppresses Si-tip formation while preserving high etching selectivity of Si to SiGe. This phenomenon can be attributed to the enhanced generation of reactive F* radicals at higher CF4 flows, which intensifies the etching-dominated reaction mechanism. Concurrently, the constant O2 flow ensures that the deposition produced by the oxidation of etching reaction by-products is not significantly affected. Consequently, while Si-tip is eliminated, a high etching selectivity is retained. As a result, the optimized gas mixture achieves complete Si-tip elimination without compromising etching selectivity, making it a viable approach for precise SiGe nanosheets fabrication.
In the fabrication of SiGe channel nanosheet FETs, the critical channel release step involves the complete removal of the Si sacrificial layer from Si/SiGe superlattices to form suspended stacked SiGe channels [17,25]. To minimize SiGe channel loss during the channel release process, the Si etching process must exhibit extremely high etch selectivity. Therefore, this work employs the process conditions with the highest etching selectivity for channel release, with the following parameters: reaction temperature maintained at 25 °C, chamber pressure at 1.2 Torr, source RF power at 1000 W, and gas flow of 300 sccm CF4, 400 sccm O2, and 200 sccm N2. Figure 7 presents the cross-sectional TEM image of the Si/SiGe stacked structure and the corresponding energy-dispersive X-ray spectroscopy (EDS) elemental maps of Ge and Si after the channel release. It can be observed that the Si sacrificial layer has been completely removed, while the SiGe channel layer has only suffered minimal etching loss. Notably, in our test structure—which intentionally omitted epitaxial source/drain regions for process evaluation—the released SiGe channels collapsed into a stacked configuration due to the absence of external support structures.

4. Conclusions

This study presents a comprehensive optimization of Si selective etching for SiGe channel integration in GAA-NS FETs, addressing key challenges in selectivity and profile control. The introduction of N2 into CF4/O2 plasma was found to enhance selectivity by up to 58, attributed to NO* radicals disrupting the Si surface passivation layer and accelerating Si etching. The presence of NO* radicals is pivotal in the process of selectivity, as they selectively rupture Si-O bonds. In contrast, SiGe remains protected by the passivation layer. Additionally, stress-induced Si-tip formation was effectively suppressed by tuning the F:O ratio, with higher CF4 flows (500 sccm) eliminating the Si-tip without compromising selectivity (>40). The optimized process (300 sccm CF4, 400 sccm O2, 200 sccm N2) enabled complete Si sacrificial layer removal with negligible SiGe thinning, as validated by TEM and EDS. This work establishes a robust dry-etching strategy for strain-engineered SiGe channels, paving the way for performance-scaled GAA-NS FETs with balanced NFET/PFET drive currents.

Author Contributions

Conceptualization, J.L. and X.S.; methodology, J.L., X.S. and Z.H.; software, J.L. and X.S.; validation, J.L. and X.S.; formal analysis, J.L. and Z.H.; investigation, J.L., X.S. and Z.H.; resources, D.W.Z.; data curation, J.L. and X.S.; writing—original draft preparation J.L. and X.S.; writing—review and editing, J.L. and X.S.; visualization, J.L.; supervision, J.L., X.S. and D.W.Z.; project administration, J.L., X.S. and D.W.Z. (J.L. and X.S. contributed equally to this paper). All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; Keersgieter, A.D.; Mannaert, G.; Rosseel, E.; et al. Gate-All-Around MOSFETs Based on Vertically Stacked Horizontal Si Nanowires in a Replacement Metal Gate Process on Bulk Si Substrates. In Proceedings of the IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  2. Wu, S.Y.; Lin, C.Y.; Chiang, M.C.; Liaw, J.J.; Cheng, J.Y.; Yang, S.H.; Liang, M.; Miyashita, T.; Tsai, C.H.; Hsu, B.C.; et al. A 16nm FinFET CMOS technology for mobile SoC and computing applications. In Proceedings of the 2013 IEEE International Electron Devices Meeting, Washington, DC, USA, 9–11 December 2013; pp. 9.1.1–9.1.4. [Google Scholar]
  3. Wu, S.Y.; Lin, C.Y.; Chiang, M.C.; Liaw, J.J.; Cheng, J.Y.; Yang, S.H.; Tsai, C.H.; Chen, P.N.; Miyashita, T.; Chang, C.H.; et al. A 7 nm CMOS platform technology featuring 4th generation FinFET transistors with a 0. In 027 um2 high density 6-T SRAM cell for mobile SoC applications. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 2.6.1.–2.6.4. [Google Scholar]
  4. Zhang, J.; Ando, T.; Yeung, C.W.; Wang, M.; Kwon, O.; Galatage, R.; Chao, R.; Loubet, N.; Moon, B.K.; Bao, R.; et al. High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 22.1.1–22.1.4. [Google Scholar]
  5. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar]
  6. Mertens, H.; Ritzenthaler, R.; Pena, V.; Santoro, G.; Kenis, K.; Schulze, A.; Litta, E.D.; Chew, S.A.; Devriendt, K.; Chiarella, R.; et al. Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 37.4.1–37.4.4. [Google Scholar]
  7. Ritzenthaler, R.; Mertens, H.; Pena, V.; Santoro, G.; Chasin, A.; Kenis, K.; Devriendt, K.; Mannaert, G.; Dekkers, H.; Dangol, A.; et al. Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors with Reduced Vertical Nanowires Separation, New Work Function Metal Gate Solutions, and DC/AC Performance Optimization. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 21.5.1–21.5.4. [Google Scholar]
  8. Liu, T.; Wang, D.; Pan, Z.; Chen, K.; Yang, J.; Wu, C.; Xu, S.; Wang, C.; Xu, M.; Zhang, D.W. Novel Postgate Single Diffusion Break Integration in Gate-All-Around Nanosheet Transistors to Achieve Remarkable Channel Stress for N/P Current Matching. IEEE Trans. Electron Devices 2022, 69, 1497–1502. [Google Scholar] [CrossRef]
  9. Agrawal, A.; Chouksey, S.S.; Rachmady, W.; Vishwanath, S.; Ghose, S.; Mehta, M.; Torres, J.; Oni, A.A.; Weng, X.; Li, H.; et al. Gate-All-Around Strained Si0.4Ge0.6 Nanosheet PMOS on Strain Relaxed Buffer for High Performance Low Power Logic Application. In Proceedings of the 2020 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 12–18 December 2020. [Google Scholar]
  10. Arimura, H.; Capogreco, E.; Vohra, A.; Porret, C.; Loo, R.; Rosseel, E.; Hikavyy, A.; Cott, D.; Boccardi, G.; Witters, L.; et al. Toward high-performance and reliable Ge channel devices for 2 nm node and beyond. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 2.1.1–2.1.4. [Google Scholar]
  11. Friedrich, S. High-mobility Si and Ge structures. Semicond. Sci. Technol. 1997, 12, 1515. [Google Scholar] [CrossRef]
  12. Loo, R.; Hikavyy, A.Y.; Witters, L.; Schulze, A.; Arimura, H.; Cott, D.; Mitard, J.; Porret, C.; Mertens, H.; Ryan, P.; et al. Processing Technologies for Advanced Ge Devices. ECS J. Solid State Sci. Technol. 2017, 6, P14. [Google Scholar] [CrossRef]
  13. Yeap, G.; Lin, S.S.; Chen, Y.M.; Shang, H.L.; Wang, P.W.; Lin, H.C.; Peng, Y.C.; Sheu, J.Y.; Wang, M.; Chen, X.; et al. 5 nm CMOS Production Technology Platform featuring full-fledged EUV, and High Mobility Channel FinFETs with densest 0.021 µm2 SRAM cells for Mobile SoC and High Performance Computing Applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 36.7.1–36.7.4. [Google Scholar]
  14. Mochizuki, S.; Bhuiyan, M.; Zhou, H.; Zhang, J.; Stuckert, E.; Li, J.; Zhao, K.; Wang, M.; Basker, V.; Loubet, N.; et al. Stacked Gate-All-Around Nanosheet pFET with Highly Compressive Strained Si1-xGex Channel. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 2.3.1–2.3.4. [Google Scholar]
  15. Guo, D.; Karve, G.; Tsutsui, G.; Lim, K.Y.; Robison, R.; Hook, T.; Vega, R.; Liu, D.; Bedell, S.; Mochizuki, S.; et al. FINFET technology featuring high mobility SiGe channel for 10nm and beyond. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  16. Bae, D.; Bae, G.; Bhuwalka, K.K.; Lee, S.H.; Song, M.G.; Jeon, T.; Kim, C.; Kim, W.; Park, J.; Kim, S.; et al. A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5nm logic applications and beyond. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 28.1.1–28.1.4. [Google Scholar]
  17. Loubet, N.; Kal, S.; Alix, C.; Pancharatnam, S.; Zhou, H.; Durfee, C.; Belyansky, M.; Haller, N.; Watanabe, K.; Devarajan, T.; et al. A Novel Dry Selective Etch of SiGe for the Enablement of High Performance Logic Stacked Gate-All-Around NanoSheet Devices. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.4.1–11.4.4. [Google Scholar]
  18. Eyben, P.; Ritzenthaler, R.; Keersgieter, A.D.; Celano, U.; Chiarella, T.; Veloso, A.; Mertens, H.; Pena, V.; Santoro, G.; Machillot, J.; et al. 3D-carrier Profiling and Parasitic Resistance Analysis in Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.3.1–11.3.4. [Google Scholar]
  19. Barraud, S.; Previtali, B.; Lapras, V.; Vizioz, C.; Hartmann, J.M.; Martinie, S.; Lacord, J.; Cassé, M.; Dourthe, L.; Loup, V.; et al. Tunability of Parasitic Channel in Gate-All-Around Stacked Nanosheets. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 21.3.1–21.3.4. [Google Scholar]
  20. Bae, G.; Bae, D.I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar]
  21. Lee, Y.M.; Na, M.H.; Chu, A.; Young, A.; Hook, T.; Liebmann, L.; Nowak, E.J.; Baek, S.H.; Sengupta, R.; Trombley, H.; et al. Accurate performance evaluation for the horizontal nanosheet standard-cell design space beyond 7nm technology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 29.3.1–29.3.4. [Google Scholar]
  22. Salvetat, T.; Destefanis, V.; Borel, S.; Hartmann, J.-M.; Kermarrec, O.; Campidelli, Y. Comparison Between Three Si1-xGex versus Si Selective Etching Processes. ECS Trans. 2008, 16, 439. [Google Scholar] [CrossRef]
  23. Borel, S.; Caubet, V.; Bilde, J.; Cherif, A.; Arvet, C.; Vizioz, C.; Hartmann, J.-M.; Rabille, G.; Billon, T. Isotropic Etching of Si1-xGex Buried Layers Selectively to Si for the Realization of Advanced Devices. ECS Trans. 2006, 3, 627. [Google Scholar] [CrossRef]
  24. Tsai, Y.-H.; Wang, M. Fundamental study on the selective etching of SiGe and Si in ClF3 gas for nanosheet gate-all-around transistor manufacturing: A first principle study. J. Vac. Sci. Technol. B 2021, 40, 013201. [Google Scholar] [CrossRef]
  25. Durfee, C.; Kal, S.; Pancharatnam, S.; Bhuiyan, M.; Iv, I.O.; Flaugh, M.; Smith, J.; Chanemougame, D.; Alix, C.; Zhou, H.; et al. Highly Selective SiGe Dry Etch Process for the Enablement of Stacked Nanosheet Gate-All-Around Transistors. ECS Trans. 2021, 104, 217. [Google Scholar] [CrossRef]
  26. Borel, S.; Arvet, C.; Bilde, J.; Harrison, S.; Louis, D. Isotropic etching of SiGe alloys with high selectivity to similar materials. Microelectron. Eng. 2004, 73–74, 301–305. [Google Scholar] [CrossRef]
  27. Pargon, E.; Petit-Etienne, C.; Youssef, L.; Thomachot, G.; David, S. New route for selective etching in remote plasma source: Application to the fabrication of horizontal stacked Si nanowires for gate all around devices. J. Vac. Sci. Technol. A 2019, 37, 040601. [Google Scholar] [CrossRef]
  28. Sun, X.; Li, J.; Qian, L.; Wang, D.; Huang, Z.; Guo, X.; Liu, T.; Xu, S.; Wang, L.; Xu, M.; et al. A Comprehensive Study of NF3-Based Selective Etching Processes: Application to the Fabrication of Vertically Stacked Horizontal Gate-All-around Si Nanosheet Transistors. Nanomaterials 2024, 14, 928. [Google Scholar] [CrossRef] [PubMed]
  29. Caubet, V.; Beylier, C.; Borel, S.; Renault, O. Mechanisms of isotropic and selective etching between SiGe and Sia. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2006, 24, 2748–2754. [Google Scholar]
  30. Christopher, C.; Nicholas, J.; Christopher, T.; Shyam, S.; Sergey, V.; Peter, B.; Alok, R. Peculiarities of selective isotropic Si etch to SiGe for nanowire and GAA transistors. Proc. SPIE 2019, 10963, 72–82. [Google Scholar]
  31. Oehrlein, G.S.; Bestwick, T.D.; Jones, P.L.; Corbett, J.W. Selective dry etching of silicon with respect to germanium. Appl. Phys. Lett. 1990, 56, 1436–1438. [Google Scholar] [CrossRef]
  32. Rachidi, S.; Campo, A.; Loup, V.; Vizioz, C.; Hartmann, J.-M.; Barnola, S.; Posseme, N. Isotropic dry etching of Si selectively to Si0.7Ge0.3 for CMOS sub-10 nm applications. J. Vac. Sci. Technol. A 2020, 38, 033002. [Google Scholar] [CrossRef]
  33. Sun, X.; Wang, D.; Qian, L.; Liu, T.; Yang, J.; Chen, K.; Wang, L.; Huang, Z.; Xu, M.; Wang, C.; et al. A Novel Si Nanosheet Channel Release Process for the Fabrication of Gate-All-Around Transistors and Its Mechanism Investigation. Nanomaterials 2023, 13, 504. [Google Scholar] [CrossRef] [PubMed]
  34. Reboh, S.; Coquand, R.; Loubet, N.; Bernier, N.; Augendre, E.; Chao, R.; Li, J.; Zhang, J.; Muthinti, R.; Boureau, V.; et al. Imaging, Modeling and Engineering of Strain in Gate-All-Around Nanosheet Transitors. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.5.1–11.5.4. [Google Scholar]
  35. Reboh, S.; Coquand, R.; Barraud, S.; Loubet, N.; Bernier, N.; Audoit, G.; Rouviere, J.-L.; Augendre, E.; Li, J.; Gaudiello, J.; et al. Strain, stress, and mechanical relaxation in fin-patterned Si/SiGe multilayers for sub-7 nm nanosheet gate-all-around device technology. Appl. Phys. Lett. 2018, 112, 051901. [Google Scholar] [CrossRef]
  36. Murray, C.E.; Yan, H.; Lavoie, C.; Jordan-Sweet, J.; Pattammattel, A.; Reuter, K.; Hasanuzzaman, M.; Lanzillo, N.; Robison, R.; Loubet, N. Mapping of the mechanical response in Si/SiGe nanosheet device geometries. Commun. Eng. 2022, 1, 11. [Google Scholar] [CrossRef]
  37. Chauhan, A.K.S.; Khan, I.A.; Kunal; Raju, H.; Manhas, S.K. Global Stress Analysis in Fin Patterned Si/SiGe Multilayer Nanosheets for Nanosheet-Based CMOS Device Technology. In Proceedings of the 2025 9th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Hong Kong, China, 9–12 March 2025; pp. 1–3. [Google Scholar]
Figure 1. Process flow and test structure for evaluating Si-selective etching in Si/SiGe superlattices. (a) Si/SiGe superlattices epitaxy and hard mask deposition; (b) Pattern definition by EBL; (c) Hard mask etching by ICP; (d) Si/SiGe superlattices etching by ICP; (e) Si selective etching by RPS.
Figure 1. Process flow and test structure for evaluating Si-selective etching in Si/SiGe superlattices. (a) Si/SiGe superlattices epitaxy and hard mask deposition; (b) Pattern definition by EBL; (c) Hard mask etching by ICP; (d) Si/SiGe superlattices etching by ICP; (e) Si selective etching by RPS.
Nanomaterials 15 01469 g001
Figure 2. (a) Trends in the Si etching rate and selectivity for the Si selective etching process with different N2 flows (ranging from 0 to 200 sccm); Cross-sectional SEM images at (b) 0 sccm, (c) 50 sccm, (d) 100 sccm and (e) 200 sccm N2.
Figure 2. (a) Trends in the Si etching rate and selectivity for the Si selective etching process with different N2 flows (ranging from 0 to 200 sccm); Cross-sectional SEM images at (b) 0 sccm, (c) 50 sccm, (d) 100 sccm and (e) 200 sccm N2.
Nanomaterials 15 01469 g002
Figure 3. Proposed surface reaction mechanism: NO*-mediated disruption of SixOyFz passivation layer enabling F* radicals access to Si surface.
Figure 3. Proposed surface reaction mechanism: NO*-mediated disruption of SixOyFz passivation layer enabling F* radicals access to Si surface.
Nanomaterials 15 01469 g003
Figure 4. Cross-sectional TEM images of sample corresponding to the addition of 200 sccm N2 into the CF4/O2 (300/400 sccm) gas mixture.
Figure 4. Cross-sectional TEM images of sample corresponding to the addition of 200 sccm N2 into the CF4/O2 (300/400 sccm) gas mixture.
Nanomaterials 15 01469 g004
Figure 5. Si-tip length and selectivity as a function of the O2 flow variation in the CF4/N2 (300/200 sccm) gas mixture.
Figure 5. Si-tip length and selectivity as a function of the O2 flow variation in the CF4/N2 (300/200 sccm) gas mixture.
Nanomaterials 15 01469 g005
Figure 6. CF4 flow modulation effects: Cross-sectional SEM images of Si-tip elimination in Si/SiGe superlattices at (a) 400 sccm and (b) 500 sccm CF4 flow (O2/N2 fixed at 400/200 sccm). Figure 7 Channel release validation: (a) Cross-sectional TEM image of suspended SiGe nanosheets with complete Si removal, and corresponding EDS elemental maps of (b) Ge and (c) Si after the channel release.
Figure 6. CF4 flow modulation effects: Cross-sectional SEM images of Si-tip elimination in Si/SiGe superlattices at (a) 400 sccm and (b) 500 sccm CF4 flow (O2/N2 fixed at 400/200 sccm). Figure 7 Channel release validation: (a) Cross-sectional TEM image of suspended SiGe nanosheets with complete Si removal, and corresponding EDS elemental maps of (b) Ge and (c) Si after the channel release.
Nanomaterials 15 01469 g006
Figure 7. Channel release validation: (a) Cross-sectional TEM image of suspended SiGe nanosheets with complete Si removal, and corresponding EDS elemental maps of (b) Ge and (c) Si after the channel release.
Figure 7. Channel release validation: (a) Cross-sectional TEM image of suspended SiGe nanosheets with complete Si removal, and corresponding EDS elemental maps of (b) Ge and (c) Si after the channel release.
Nanomaterials 15 01469 g007
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Li, J.; Sun, X.; Huang, Z.; Zhang, D.W. Highly Selective Isotropic Etching of Si to SiGe Using CF4/O2/N2 Plasma for Advanced GAA Nanosheet Transistor. Nanomaterials 2025, 15, 1469. https://doi.org/10.3390/nano15191469

AMA Style

Li J, Sun X, Huang Z, Zhang DW. Highly Selective Isotropic Etching of Si to SiGe Using CF4/O2/N2 Plasma for Advanced GAA Nanosheet Transistor. Nanomaterials. 2025; 15(19):1469. https://doi.org/10.3390/nano15191469

Chicago/Turabian Style

Li, Jiayang, Xin Sun, Ziqiang Huang, and David Wei Zhang. 2025. "Highly Selective Isotropic Etching of Si to SiGe Using CF4/O2/N2 Plasma for Advanced GAA Nanosheet Transistor" Nanomaterials 15, no. 19: 1469. https://doi.org/10.3390/nano15191469

APA Style

Li, J., Sun, X., Huang, Z., & Zhang, D. W. (2025). Highly Selective Isotropic Etching of Si to SiGe Using CF4/O2/N2 Plasma for Advanced GAA Nanosheet Transistor. Nanomaterials, 15(19), 1469. https://doi.org/10.3390/nano15191469

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop