Next Article in Journal
Direct Z-Scheme Heterojunction Catalysts Constructed by Graphitic-C3N4 and Photosensitive Metal-Organic Cages for Efficient Photocatalytic Hydrogen Evolution
Next Article in Special Issue
Core–Shell GaAs-Fe Nanowire Arrays: Fabrication Using Electrochemical Etching and Deposition and Study of Their Magnetic Properties
Previous Article in Journal
Magnetic Field Effects Induced in Electrical Devices Based on Cotton Fiber Composites, Carbonyl Iron Microparticles and Barium Titanate Nanoparticles
Previous Article in Special Issue
High-Performance Laterally Oriented Nanowire Solar Cells with Ag Gratings
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process

Integrated Circuit Advanced Process Center, Institute of Microelectronics, University of Chinese Academy of Sciences, Beijing 100029, China
*
Author to whom correspondence should be addressed.
Nanomaterials 2022, 12(5), 889; https://doi.org/10.3390/nano12050889
Submission received: 13 January 2022 / Revised: 24 February 2022 / Accepted: 3 March 2022 / Published: 7 March 2022

Abstract

:
In this paper, the fabrication and electrical performance optimization of a four-levels vertically stacked Si0.7Ge0.3 channel nanowires gate-all-around transistor are explored in detail. First, a high crystalline quality and uniform stacked Si0.7Ge0.3/Si film is achieved by optimizing the epitaxial growth process and a vertical profile of stacked Si0.7Ge0.3/Si fin is attained by further optimizing the etching process under the HBr/He/O2 plasma. Moreover, a novel ACT@SG-201 solution without any dilution at the temperature of 40 °C is chosen as the optimal etching solution for the release process of Si0.7Ge0.3 channel. As a result, the selectivity of Si to Si0.7Ge0.3 can reach 32.84 with a signature of “rectangular” Si0.7Ge0.3 extremities after channel release. Based on these newly developed processes, a 4-levels vertically stacked Si0.7Ge0.3 nanowires gate-all-around device is prepared successfully. An excellent subthreshold slope of 77 mV/dec, drain induced barrier-lowering of 19 mV/V, Ion/Ioff ratio of 9 × 105 and maximum of transconductance of ~83.35 μS/μm are demonstrated. However, its driven current is only ~38.6 μA/μm under VDS = VGS = −0.8 V due to its large resistance of source and drain (9.2 × 105 Ω). Therefore, a source and drain silicide process is implemented and its driven current can increase to 258.6 μA/μm (about 6.7 times) due to the decrease of resistance of source and drain to 6.4 × 104 Ω. Meanwhile, it is found that a slight increase of leakage after the silicide process online results in a slight deterioration of the subthreshold slope and Ion/Ioff ratio. Its leakage performance needs to be further improved through the co-optimization of source and drain implantation and silicide process in the future.

1. Introduction

The vertically stacked horizontal gate-all-around (GAA) transistors are now established as the most promising candidate to the FinFETs in sub-5nm technology node, due to the excellent electrostatic and short channel control [1,2,3]. Moreover, to keep Moore’s Law alive as long as possible, researchers are also looking for alternatives to silicon channel material, like SiC, GaN, SiGe and Ge [4,5,6,7]. Among them, SiGe materials, especially those with Ge concentration between 20% and 40%, have been considered as the channel material of GAA devices. This is because they have higher electron and hole mobility, better negative bias temperature instability (NBTI) reliability [8,9] than Si and are more compatible with present Si platform [9,10,11]. However, the fabrication of stacked SiGe nanowire/nanosheet (NW/NS) GAA devices still face many challenges, such as a high-quality stacked SiGe/Si fin structure preparation, high selectively SiGe NW/NS release, inner spacer, source/drain (S/D) epitaxial process, etc. [11,12,13,14,15]. These processes are critical for the preparation of the stacked SiGe channel NW/NS device. Prior to this work, there are several reports on the preparation of SiGe/Si fin in terms of the epitaxial growth and dry etching process of stacked SiGe/Si [11,12,16,17]. In addition, the releasing technologies of SiGe nanowire by highly selective removal of Si have been investigated in the last years using the dry etching with CF4-based plasma [18], in situ HCl gaseous thermal etching [19] and wet chemical etching [13,20]. However, the former two methods are normally conducted using specific tools to avoid damages or selectivity issues. Meanwhile, wet chemical etching is an easier strategy since it can achieve a high selective etching only by choosing an appropriate alkaline solution and optimizing its temperature. Moreover, the wet chemical etching can be implemented in a common wet bench or spin on single wafer tool. Recently, a new alkaline solution, ACT@SG-201, was proposed to remove Si sacrificial layer and inhibit the loss of SiGe layer [21]. Therefore, for a 4-levels vertically stacked Si0.7Ge0.3 NW GAA device, a high crystalline quality epitaxial growth and vertical dry etching of stacked Si0.7Ge0.3/Si multilayer and a high selective release of stacked SiGe channels need to be further studied, as only a few studies have been reported so far.
In this paper, a 4-levels vertically stacked Si0.7Ge0.3 NWs GAA p-MOS device is demonstrated by developing the fabrication process of a high-quality stacked Si0.7Ge0.3/Si fin and developing the releasing process of the stacked Si0.7Ge0.3 channels. Furthermore, its driven current can be further enhanced by introducing a process of nickel-based silicide at S/D regions.

2. Materials and Methods

The process flow that was used for the fabrication of the stacked Si0.7Ge0.3 NWs GAA p-MOS transistor is illustrated in Figure 1. After the n-Well formation (Figure 1a), a vertical stacked Si0.7Ge0.3/Si fin was fabricated by developing a high-quality epitaxial growth and anisotropic dry etching process used the HBr-based plasma under the sidewall image transfer technique (Figure 1b). After that, the shallow trench isolation (STI), dummy gate and spacers were defined, and p-type BF2 ions were implanted in Si0.7Ge0.3/Si fins to form S/D regions (Figure 1c). In addition, some samples employed a self-aligned S/D nickel-based silicide process [22,23] (Figure 1d). The stacked Si0.7Ge0.3 NWs were subsequently released in the replacement metal gate (RMG) module by using an optimized ACT@SG-201 solution for the selective removal of Si sacrificial layers. Additionally, the Al2O3/HfO2 bi-layer high-k (HK) dielectric and TiN-based/W metal gate (MG) stack were used as the HK/MG stack (Figure 1e). Finally, the four terminals were formed by the normal contact and metal connections process (Figure 1f).
The film quality and device structure were observed using high-resolution transmission electron microscopy (HRTEM) and the high angle annular dark field scanning transmission electron microscope (HAADF-STEM). The fin etch and selective etch profiles were performed by scanning electron microscopes (SEM). The Energy-dispersive X-ray spectroscopy (EDX) mapping analysis was employed to verify elements of the final stacked Si0.7Ge0.3 NWs GAA device. The electrical characterization was performed using a Keithley 4200 semiconductor parameter analyzer.

3. Results and Discussion

3.1. High-Quality Stacked Si0.7Ge0.3/Si Fin Formation

A vertical stacked Si0.7Ge0.3/Si fin with a high crystalline quality is one of key factors for the fabrication of a stacked Si0.7Ge0.3/Si NWs GAA device. As shown in Figure 2a,b, a high-quality epitaxial growth of stacked Si0.7Ge0.3/Si multilayer was verified using the HRTEM and HAADF-STEM analysis. No threading dislocation defects, as well as distinct and sharp interfaces between the Si0.7Ge0.3 and Si, can be found. Meanwhile, the thickness of Si0.7Ge0.3 from top to bottom is 8.3, 8.2, 8.1 and 10.1 nm under the same time of epitaxial growth. In other words, the thickness of bottom Si0.7Ge0.3 is ~2 nm thicker than that of others. It is known that the epitaxial rate is strongly dependent on the crystallization of the under-layer, that is, the epitaxial rate might be decreased if multi-crystallization occurs in the under-layer [9]. At the same time, the thickness of Si is measured as 13.5, 12.7, 12.0 and 12.3 nm from top to bottom for the better release of Si0.7Ge0.3 NW channel. Moreover, it can be seen that the thickness of top Si is ~1 nm thicker than that of others. Its purpose is to increase the process window for the following poly and spacer etching process.
To get a uniform stacked Si0.7Ge0.3 NW channel length and excellent gate control, a vertical profile of stacked Si0.7Ge0.3/Si fin is a critical process. Based on previous etching results of the SiGe fin and two period stacked SiGe/Si fin [11,17], the HBr/O2/He plasma was applied for four-period-stacked Si0.7Ge0.3/Si fin, as shown in Figure 2c. It is found that a not very vertical profile of stacked Si0.7Ge0.3/Si fin was attained. To further optimize its etching profile, the bias voltage of fin etching was fine-tuned from −90 V to −100 V. A vertical four-period stacked Si0.7Ge0.3/Si fin structure was attained by increasing ions bombarding, as shown in Figure 2d.

3.2. Si0.7Ge0.3 NW Channel Release

For a selective removal of Si to Si0.7Ge0.3, the wet etching approach using an alkaline solution is still a better choice. For example, the conventional TMAH solution, after the co-optimization of concentration and temperature, can be chosen to selectively remove the Si to Si0.7Ge0.3 with a selectivity of ~17.3 [13]. To further enhance the selectivity of the wet etching process, a novel ACT@SG-201 solution is employed to verify the release process of four-levels vertically stacked Si0.7Ge0.3 NW channel. This novel solution has effective Si surface modifier and SiGe corrosion inhibitor to achieve a relatively high selectivity [21].
Firstly, the effect of temperature on the etching characteristics was verified for the ACT@SG-201. Figure 3a,b present the etching rates of Si, Si0.7Ge0.3 and selectivity of Si to Si0.7Ge0.3 under ACT@SG-201 solution at temperatures of 20 °C, 40 °C and 60 °C. The lateral etching rate of Si is calculated based on the tunnel depth divided by immersion time. Additionally, the vertical etching rate of Si0.7Ge0.3 is calculated based on the thickness loss of Si0.7Ge0.3 per side at the edge position divided by immersion time [13]. It can be found that the vertical etching rate of Si0.7Ge0.3 layers increase with the increase of temperature, but the lateral etching rate of Si layers increases first and then decrease with the increase of temperature. Therefore, the selectivity of Si to Si0.7Ge0.3 increases from 28.67 to 32.84 as the temperature of ACT@SG-201 solution increase from 20 to 40 °C and then decrease to 27.76 as the temperatures of ACT@SG-201 solution further increase to 60 °C. It can be concluded that ACT@SG-201 at 40 °C is the optimal temperature for the selective removal of Si to Si0.7Ge0.3.
At the same time, the etching rate of Si, Si0.7Ge0.3 and selectivity of Si to Si0.7Ge0.3 with different concentration of ACT@SG-201 solution at 40 °C are presented in Figure 4a,b. As we can see that the etching rate of Si and Si0.7Ge0.3 are decreasing with the increasing of the concentration of ACT@SG-201. However, the selectivity of Si to Si0.7Ge0.3 increase from 20.67 to 32.84 because the etching rate of Si0.7Ge0.3 decreases more than that of Si. Based on the above results, the ACT@SG-201 without any dilution at the temperature of 40 °C is chosen as the optimal etching solution for the selective etching of Si to Si0.7Ge0.3.
Moreover, the selective etching profile of two periods Si0.7Ge0.3/Si multilayer by using the optimal ACT@SG-201 at 40 °C is presented in Figure 5. The Si0.7Ge0.3 layers extremities are “rectangular” without significant Si0.7Ge0.3 loss after etching for 10 min at 40 °C due to its high selectivity. This result further confirms that ACT@SG-201 without any dilution at the temperature of 40 °C is the optimal condition due to its high selectivity.

3.3. 4-Levels Vertically Stacked Si0.7Ge0.3 NW GAA Device

Based on above newly developed fabrication process of high-quality stacked Si0.7Ge0.3/Si fin, and release process of Si0.7Ge0.3 NW channel, a four-levels vertically stacked Si0.7Ge0.3 NWs GAA device is prepared successfully. Figure 6 shows the cross-sectional image of the Si0.7Ge0.3 NW channels area under the HK/MG stack at the end of fabrication processing. It can be found that vertical and uniform four-levels stacked Si0.7Ge0.3 channels with almost the same width (~13.6 nm) were achieved. This result indicates that our newly developed fin formation and NWs release process are effective for the fabrication of vertically stacked Si0.7Ge0.3 NWs GAA device. In addition, the EDX mapping results of the four-levels vertically stacked Si0.7Ge0.3 NWs GAA device under the HK/MG stack at the end of the fabrication processing are shown in Figure 6b–f. From Figure 6b,c, it can be seen that uniform Ge and Si elements are distributed in the channel area. Namely, the stacked channels are Si0.7Ge0.3 layers and the Si sacrificial layers have been completely removed. These results further confirmed that our newly developed fin formation and NWs release process are effective for the fabrication of vertically stacked Si0.7Ge0.3 NW GAA device. Meanwhile, the stacked Si0.7Ge0.3 NW channels were well surrounded by the ALD HK/MG stacks to form a GAA structure, as shown in Figure 6d–f, which could provide an excellent gate control ability for the four-levels vertically stacked Si0.7Ge0.3 NW GAA device.
The typical IDS-VGS, gm-VGS and IDS-VDS characteristics of the four-levels vertically stacked Si0.7Ge0.3 NWs GAA device are shown in Figure 7a–c, respectively. An excellent subthreshold slope (SS) of ~77 mV/dec, low drain induced barrier-lowering (DIBL) of ~19 mV/V, high Ion/Ioff ratio of ~9 × 105 and the maximum of transconductance (gm,max) of ~83.35 μS/μm were demonstrated using the above newly developed process. In particular, its excellent SS performance indicates that a good electrostatic control is obtained for the 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device. However, its driven current (Ion) was only ~38.6 μA/μm under VDS = VGS = −0.8 V due to its large resistance of source and drain (RSD). According to the IDS-VDS curves of different device widths at liner region, it can be calculated that the value of RSD can reach 9.2 × 105 Ω. This is because the direct connect was formed between contact and Si0.7Ge0.3/Si fin at S/D area without epitaxial or silicide process.

3.4. Electrial Perforemance Optimization

To further improve the drive current and reduce the RSD, a S/D silicide process is proposed to fabricate the four-levels vertically stacked Si0.7Ge0.3 NWs GAA device. The HAADF-STEM and EDX mapping analysis were employed to check the S/D region of the four-levels vertically stacked Si0.7Ge0.3 NWs GAA device. The results are shown in Figure 8a–d. It is found that the top first period and partial second period Si0.7Ge0.3/Si fin at S/D area have been silicified with a uniform and smooth interface. Meanwhile, the EDX mapping results, as shown in Figure 8b–d, proved that the uniform Ni-Si or Ni-SiGe silicide was formed on the top of the Si0.7Ge0.3/Si fin at S/D area.
The IDS-VGS, gm-VGS and IDS-VDS characteristics of 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device with S/D silicide process are shown in Figure 9a–c, respectively. Compared with device without S/D silicide process, the Ion can increase from 38.6 to ~258.6 μA/μm (about 6.7 times), as well as the gm,max can increase from 83.35 to 562.73 μS/μm (about 6.7 times), because its RSD can reduce from 9.2 × 105 to 6.4 × 104 Ω by employing the S/D silicide process. These results verified that the S/D silicide process can improve the Ion of the 4-levels vertically stacked Si0.7Ge0.3 NW GAA device by reducing its RSD.
To check if this process has other side effects, the key electrical parameters of devices with or without S/D silicide are compared in detail. The results are summarized in Table 1. Although its Ion, gm,max and RSD had obviously improvement, its other parameters, such as SS, DIBL, leakage (Ioff) and Ion/Ioff ratio were slightly worse. For example, the leakage increased from 4.22 × 10−5 to 3.71 × 10−4 μA/μm, SS increased from 77 to 93 mV/dec, and its Ion/Ioff ratio decreased from 9 × 105 to 7 × 105. We believe that the slight deterioration of SS and Ion/Ioff ratio is caused by the increase of Ioff. Its leakage performance needs to be further improved through the co-optimization of S/D implantation and silicide process in the future.

4. Conclusions

In a summary, a four-levels vertically stacked Si0.7Ge0.3 NWs GAA device is successfully fabricated by developing a high-quality epitaxial growth and vertical fin etch of stacked SiGe/Si multilayer, and introducing the channel release process of stacked Si0.7Ge0.3 NWs under the optimal ACT@SG-201 solution. Meanwhile, the Ni-based silicide process is also implemented to improve its driven current by decreasing the RSD. Moreover, its slightly poor leakage performance needs to be further improved through the co-optimization of S/D implantation and silicide process in the future.

Author Contributions

Methodology, Y.L. and Q.Z.; investigation, X.C., H.L., C.L. and Y.L.; data curation, X.C., F.Z. and A.C.; writing—original draft preparation, X.C. and Y.L.; writing—review and editing, H.Y., J.L. and W.W.; project administration, Y.L.; funding acquisition, Y.L. All authors have read and agreed to the published version of the manuscript.

Funding

This work is supported in part by the Science and technology program of Beijing Municipal Science and Technology Commission (Grant no. Z201100004220001), in part by the CAS Pioneer Hundred Talents Program (Grant no. NA), in part by Beijing Municipal Natural Science Foundation (Grant no. 4202078).

Data Availability Statement

Not applicable.

Acknowledgments

We thank the Integrated Circuit Advanced Process Center (ICAC) at the Institute of Microelectronics of the Chinese Academy of Sciences for the devices fabricated on their advanced 200 mm CMOS platform.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Fang, W.W.; Singh, N.; Bera, L.K.; Nguyen, H.S.; Rustagi, S.C.; Lo, G.Q.; Balasubramanian, N.; Kwong, D. Vertically Stacked SiGe Nanowire Array Channel CMOS Transistors. IEEE Electron. Device Lett. 2007, 28, 211–213. [Google Scholar] [CrossRef]
  2. Veloso, A.; Eneman, G.; Huynh-Bao, T.; Chasin, A.; Simoen, E.; Vecchio, E.; Devriendt, K.; Brus, S.; Rosseel, E.; Hikavyy, A.; et al. Vertical Nanowire and Nanosheet FETs: Device Features, Novel Schemes for Improved Process Control and Enhanced Mobility, Potential for Faster & More Energy Efficient Circuits. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.1.1–11.1.4. [Google Scholar]
  3. Bender, H.; Bosch, E.G.T.; Richard, O.; Mendez, D.; Favia, P.; Lazić, I. 3D characterization of nanowire devices with STEM based modes. Semicond. Sci. Technol. 2019, 34, 114001. [Google Scholar] [CrossRef]
  4. Liu, X.-Y.; Hao, J.-L.; You, N.-N.; Bai, Y.; Tang, Y.-D.; Yang, C.-Y.; Wang, S.-K. High-mobility SiC MOSFET with low density of interface traps using high pressure microwave plasma oxidation. Chin. Phys. B 2020, 29, 037301. [Google Scholar] [CrossRef]
  5. Pavlidis, G.; Hilton, A.M.; Brown, J.L.; Heller, E.R.; Graham, S. Monitoring the Joule heating profile of GaN/SiC high electron mobility transistors via cross-sectional thermal imaging. J. Appl. Phys. 2020, 128, 075705. [Google Scholar] [CrossRef]
  6. Akarvardar, K.; Wang, M.; Bao, R.; Burns, S.; Chan, V.; Cheng, K.; Demarest, J.; Fronheiser, J.; Hashemi, P.; Kelly, J.; et al. FINFET Technology Featuring High Mobility SiGe Channel for 10 nm and Beyond. In Proceedings of the IEEE Symposium on VLSI Technology (VLSI), Honolulu, HI, USA, 13–16 January 2016. [Google Scholar]
  7. Ando, T.; Hashemi, P.; Bruley, J.; Rozen, J.; Ogawa, Y.; Koswatta, S.; Chan, K.K.; Cartier, E.A.; Mo, R.; Narayanan, V. High Mobility High-Ge-Content SiGe PMOSFETs Using Al2O3/HfO2 Stacks with In-Situ O3 Treatment. IEEE Electron. Device Lett. 2017, 38, 303–305. [Google Scholar] [CrossRef]
  8. Franco, J.; Kaczer, B.; Roussel, P.J.; Mitard, J.; Cho, M.; Witters, L.; Grasser, T.; Groeseneken, G. SiGe channel technology: Superior reliability toward ultrathin EOT devices—Part I: NBTI. IEEE Trans. Electron. Devices 2013, 60, 396. [Google Scholar] [CrossRef]
  9. Li, Y.; Zhao, F.; Cheng, X.; Liu, H.; Zan, Y.; Li, J.; Zhang, Q.; Wu, Z.; Luo, J.; Wang, W. Four-Period Vertically Stacked SiGe/Si Channel FinFET Fabrication and Its Electrical Characteristics. Nanomaterials 2021, 11, 1689. [Google Scholar] [CrossRef] [PubMed]
  10. Lee, C.H.; Southwick, R.G.; Mochizuki, S.; Li, J.; Miao, X.; Wang, M.; Bao, R.; Ok, I.; Ando, T.; Hashemi, P.; et al. Toward High Performance SiGe Channel CMOS: Design of High Electron Mobility in SiGe nFinFETs Outperforming Si. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 35.1.1–35.1.4. [Google Scholar]
  11. Li, Y.; Cheng, X.; Zhong, Z.; Zhang, Q.; Wang, G.; Li, Y.; Li, J.; Ma, X.; Wang, X.; Yang, H.; et al. Key Process Technologies for Stacked Double Si0.7Ge0.3 Channel Nanowires Fabrication. ECS J. Solid State Sci. Technol. 2020, 9, 064009. [Google Scholar] [CrossRef]
  12. Wieser, U.; Iamundo, D.; Kunze, U.; Hackbarth, T.; König, U. Nanoscale patterning of Si/SiGe heterostructures by electron-beam lithography and selective wet-chemical etching. Semicond. Sci. Technol. 2000, 15, 862–867. [Google Scholar] [CrossRef]
  13. Cheng, X.; Li, Y.; Liu, H.; Zan, Y.; Lu, Y.; Zhang, Q.; Li, J.; Du, A.; Wu, Z.; Luo, J.; et al. Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs. J. Mater. Sci. Mater. Electron. 2020, 31, 22478–22486. [Google Scholar] [CrossRef]
  14. Barraud, S.; Lapras, V.; Samson, M.P.; Gaben, L.; Grenouillet, L.; Maffini-Alvaro, V.; Morand, Y.; Daranlot, J.; Rambal, N.; Previtalli, B.; et al. Vertically stacked-NanoWires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drain. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 17.6.1–17.6.4. [Google Scholar]
  15. Hikavyy, A.; Vanherle, W.; Witters, L.; Vincent, B.; Dekoster, J.; Loo, R. High Ge Content SiGe Selective Processes for Source/Drain in Manufacturing the Next Generations of pMOS Transistors. ECS J. Solid State Sci. Technol. 2013, 2, 282–286. [Google Scholar] [CrossRef]
  16. Zhao, Z.; Cheng, X.; Li, Y.; Zan, Y.; Liu, H.; Wang, G.; Du, A.; Li, J.; Zhang, Q.; Xu, G.; et al. Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device. J. Mater. Sci. Mater. Electron. 2019, 31, 5854–5860. [Google Scholar] [CrossRef]
  17. Li, Y.; Zhao, F.; Cheng, X.; Liu, H.; Wang, W. Integration of Si0.7Ge0.3 fin onto a bulk-Si substrate and its P-type FinFET device fabrication. Semicond. Sci. Technol. 2021, 36, 125001. [Google Scholar] [CrossRef]
  18. Ahles, C.F.; Choi, J.Y.; Wolf, S.; Kummel, A.C. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5. ACS Appl. Mater. Interfaces 2017, 9, 20947–20954. [Google Scholar] [CrossRef] [PubMed]
  19. Bidal, G.; Loubet, N.; Fenouillet-Beranger, C.; Denorme, S.; Perreau, P.; Fleury, D.; Clement, L.; Laviron, C.; Leverd, F.; Gouraud, P.; et al. Folded fully depleted FET using Silicon-On-Nothing technology as a highly W-scaled planar solution. Solid-State Electron. 2009, 53, 735–740. [Google Scholar] [CrossRef]
  20. Liu, H.; Li, Y.; Cheng, X.; Zan, Y.; Lu, Y.; Wang, G.; Li, J.; Kong, Z.; Ma, X.; Wang, X.; et al. Fabrication and selective wet etching of Si0.2Ge0.8/Ge multilayer for Si0.2Ge0.8 channel gate-all-around MOSFETs. Mater. Sci. Semicond. Process. 2021, 121, 105397. [Google Scholar] [CrossRef]
  21. Liu, W.D.; Lee, Y.C.; Sekiguchi, R.; Yoshida, Y.; Komori, K.; Wostyn, K.; Sebaai, F.; Holsteyns, F. Selective Wet Etching in Fabricating SiGe and Ge Nanowires for Gate-all-Around MOSFETs. Solid State Phenom. 2018, 282, 101–106. [Google Scholar] [CrossRef]
  22. Demeurisse, C.; Verheyen, P.; Opsomer, K.; Vrancken, C.; Absil, P.; Lauwers, A. Thermal stability of NiPt- and Pt-silicide cotacts on SiGe source/drain. Microelectron. Eng. 2007, 84, 2547–2551. [Google Scholar] [CrossRef]
  23. Kittl, J.A.; Lauwers, A.; Chamirian, O.; Pawlak, M.A.; Van Dal, M.; Akheyar, A.; De Potter, M.; Kottantharayil, A.; Pourtois, G.; Lindsay, R.; et al. Applications of Ni-based silicides to 45 nm CMOS and beyond. Mater. Res. Soc. Symp. Proc. 2004, 810, 31–42. [Google Scholar] [CrossRef]
Figure 1. The process flow of stacked Si0.7Ge0.3 NWs GAA p-MOS device. (a) Si substructure & N-well formation; (b) fin formation; (c) dummy gate formation & spacer formation & S/D implantaton; (d) S/D formation; (e) dummy gate removal & SiGe NW channel release & HK/MG; (f) ILD & Contect & M1.
Figure 1. The process flow of stacked Si0.7Ge0.3 NWs GAA p-MOS device. (a) Si substructure & N-well formation; (b) fin formation; (c) dummy gate formation & spacer formation & S/D implantaton; (d) S/D formation; (e) dummy gate removal & SiGe NW channel release & HK/MG; (f) ILD & Contect & M1.
Nanomaterials 12 00889 g001
Figure 2. (a) HRTEM images of stacked Si0.7Ge0.3/Si multilayer; (b) its magnified images at the Si0.7Ge0.3/Si interfaces. (c) Four-period stacked SiGe/Si fin profile with HBr/O2/He plasma under bias voltage of −90 V, (d) under bias voltage of −100 V.
Figure 2. (a) HRTEM images of stacked Si0.7Ge0.3/Si multilayer; (b) its magnified images at the Si0.7Ge0.3/Si interfaces. (c) Four-period stacked SiGe/Si fin profile with HBr/O2/He plasma under bias voltage of −90 V, (d) under bias voltage of −100 V.
Nanomaterials 12 00889 g002
Figure 3. (a) The lateral etching rate of Si and the vertical etching rate of Si0.7Ge0.3, (b) the etching selectivity of Si to Si0.7Ge0.3 under ACT@SG-201 at different temperature.
Figure 3. (a) The lateral etching rate of Si and the vertical etching rate of Si0.7Ge0.3, (b) the etching selectivity of Si to Si0.7Ge0.3 under ACT@SG-201 at different temperature.
Nanomaterials 12 00889 g003
Figure 4. (a) The lateral etching rate of Si and the vertical etching rate of Si0.7Ge0.3, (b) the etching selectivity of Si to Si0.7Ge0.3 under different concentration of ACT@ SG-201 at 40 °C.
Figure 4. (a) The lateral etching rate of Si and the vertical etching rate of Si0.7Ge0.3, (b) the etching selectivity of Si to Si0.7Ge0.3 under different concentration of ACT@ SG-201 at 40 °C.
Nanomaterials 12 00889 g004
Figure 5. The SEM image of the selective etching of the Si0.7Ge0.3/Si multilayers stack utilizing the optimal ACT@ SG-201 solution at 40 °C for 10 min.
Figure 5. The SEM image of the selective etching of the Si0.7Ge0.3/Si multilayers stack utilizing the optimal ACT@ SG-201 solution at 40 °C for 10 min.
Nanomaterials 12 00889 g005
Figure 6. (a) The enlarged view of the channel area at across the fin direction and (bf) the EDX mapping of the 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device under the HK/MG stacks at the end of the fabrication processing.
Figure 6. (a) The enlarged view of the channel area at across the fin direction and (bf) the EDX mapping of the 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device under the HK/MG stacks at the end of the fabrication processing.
Nanomaterials 12 00889 g006
Figure 7. (a) IDS-VGS, (b) gm-VGS and (c) IDS-VDS characteristics of the four-levels vertically stacked Si0.7Ge0.3 NWs GAA device.
Figure 7. (a) IDS-VGS, (b) gm-VGS and (c) IDS-VDS characteristics of the four-levels vertically stacked Si0.7Ge0.3 NWs GAA device.
Nanomaterials 12 00889 g007
Figure 8. (a) The cross–sectional images of S/D region of 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device at perpendicular to fin direction and its EDX mapping of Ni (b), Ge (c), and Si element (d) distribution.
Figure 8. (a) The cross–sectional images of S/D region of 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device at perpendicular to fin direction and its EDX mapping of Ni (b), Ge (c), and Si element (d) distribution.
Nanomaterials 12 00889 g008
Figure 9. The (a) IDS-VGS, (b) gm-VGS (c) IDS-VDS characteristics of 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device with S/D silicide process.
Figure 9. The (a) IDS-VGS, (b) gm-VGS (c) IDS-VDS characteristics of 4-levels vertically stacked Si0.7Ge0.3 NWs GAA device with S/D silicide process.
Nanomaterials 12 00889 g009
Table 1. The comparison of electrical characteristic parameters for the 4-levels vertically stacked Si0.7Ge0.3 NWs GAA devices with or without S/D silicide process.
Table 1. The comparison of electrical characteristic parameters for the 4-levels vertically stacked Si0.7Ge0.3 NWs GAA devices with or without S/D silicide process.
SamplesIon (μA/μm)SS (mV/dec)gm,max (μS/μm)DIBL (mV/V)Ioff (μA/μm)Ion/IoffRSD (Ω)
W/o silicide38.67783.85194.22 × 10−59 × 1059.2 × 105
W/silicide258.693562.73233.71 × 10−47 × 1056.4 × 104
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Cheng, X.; Li, Y.; Zhao, F.; Chen, A.; Liu, H.; Li, C.; Zhang, Q.; Yin, H.; Luo, J.; Wang, W. 4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process. Nanomaterials 2022, 12, 889. https://doi.org/10.3390/nano12050889

AMA Style

Cheng X, Li Y, Zhao F, Chen A, Liu H, Li C, Zhang Q, Yin H, Luo J, Wang W. 4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process. Nanomaterials. 2022; 12(5):889. https://doi.org/10.3390/nano12050889

Chicago/Turabian Style

Cheng, Xiaohong, Yongliang Li, Fei Zhao, Anlan Chen, Haoyan Liu, Chun Li, Qingzhu Zhang, Huaxiang Yin, Jun Luo, and Wenwu Wang. 2022. "4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process" Nanomaterials 12, no. 5: 889. https://doi.org/10.3390/nano12050889

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop