Next Article in Journal
Ultraviolet Photodetecting and Plasmon-to-Electric Conversion of Controlled Inkjet-Printing Thin-Film Transistors
Previous Article in Journal
Manganese and Graphene Included Titanium Dioxide Composite Nanowires: Fabrication, Characterization and Enhanced Photocatalytic Activities
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Self-Compliance and High Performance Pt/HfOx/Ti RRAM Achieved through Annealing

Key Laboratory for Wide-Band Gap Semiconductor Materials and Devices of Education, School of Microelectronics, Xidian University, Xi’an 710071, China
*
Author to whom correspondence should be addressed.
Nanomaterials 2020, 10(3), 457; https://doi.org/10.3390/nano10030457
Submission received: 26 January 2020 / Revised: 19 February 2020 / Accepted: 28 February 2020 / Published: 4 March 2020
(This article belongs to the Section Synthesis, Interfaces and Nanostructures)

Abstract

:
A self-compliance resistive random access memory (RRAM) achieved through thermal annealing of a Pt/HfOx/Ti structure. The electrical characteristic measurements show that the forming voltage of the device annealing at 500 °C decreased, and the switching ratio and uniformity improved. Tests on the device’s cycling endurance and data retention characteristics found that the device had over 1000 erase/write endurance and over 105 s of lifetime (85 °C). The switching mechanisms of the devices before and after annealing were also discussed.

Graphical Abstract

1. Background

Resistance random access memory is considered one of the most promising candidates for the next generation of non-volatile memory due to its simple structure, highest storage capacity with the smallest cell size of 4F2 (F is the minimum feature size), fast switching speed, low power consumption, and compatibility with CMOS processes [1,2,3,4]. RRAMs based on various materials, including metal oxides [5,6], novel nanomaterials [7,8,9], and organics [10,11], have been proposed. Among them, RRAM based on hafnium oxide [12,13,14,15], which replaced SiO2 as the gate dielectric of choice below the 45 nm node [16,17], has been widely studied due to its high switching stability. There are still many challenges to overcome before RRAMs can be used as commercial memory, such as switching uniformity, overshoot current during forming or set process, leakage current in integrated arrays, and so on [4,18].
To prevent the overshoot current during forming or set process, additional current limits are usually imposed on the device [4,5], which adds to the complexity of the measuring circuit. In order to obtain devices with self-compliance characteristics, many schemes have been proposed, including the one diode and one resistor (1D1R) [19], and the one transistor and one resistor (1T1R) [20]. However, these increase the complexity of the device structure and the difficulty of fabrication. Self-compliance RRAM based on Ag/HfO2/Au structure was also proposed [21], but only 30 cycles of endurance were demonstrated. Therefore, research on devices with simple structures and self-compliance current characteristics is necessary. In this paper, a self-compliance RRAM device with high switching performance was proposed by annealing of a Pt/HfOx/Ti structure. It is a capacitive structure with only a single oxide medium as the switching layer and two metal layers as the electrodes, and the self-compliance characteristics can be obtained by just one step annealing at the end of the process, no additional limiting devices such as diodes or transistors are required, so the device preparation process can be simple. The simple device structure also allows for a high integration density.

2. Methods

The 20 nm Ti adhesion layer and the 100 nm Pt bottom electrodes (BE) deposited on Si substrates by electron beam vapor deposition. The BE patterns were obtained by lithography and lift-off process. Then, the HfOx active layer deposited by the atomic layer deposition (ALD) method (Picosun R-150, Espoo, Finland). The temperature of the ALD chamber was set as 300 °C, and tetrakis (ethylmethylamino) hafnium (TEMAH) was used as the hafnium precursor, with H2O used as the oxidant. For an ALD growth cycle of HfOx, TEMAH was pulsed into the chamber by carrier gas for 0.3 s with an 8 s N2 purge, and then H2O was pulsed for 0.1 s, followed by an 8 s N2 purge. The HfOx film thickness was measured by a spectral ellipsometer (J. A. Woollam Company M-2000D, Lincoln, NE, USA). Devices with 5 nm, 10 nm, 15 nm, and 20 nm thick HfOx were prepared. The active layer pattern obtained by inductively coupled plasma (ICP) etching (Oxford Instruments Plasmalab System133, Oxford, UK). Finally, the 100 nm Ti top electrode (TE) and a 20 nm Pt cladding layer deposited by electron beam vapor deposition, followed by lithography and lift-off process. The effective areas of the devices depend on the upper and lower electrode wire widths, about 2 × 2 μm2, 6 × 6 μm2, 10 × 10 μm2, and 20 × 20 μm2 in this work. The as-deposited devices (Device A) were annealed in N2 (99.996%) for 10 min at 500 °C (Device B) and 600 °C (Device C) in a rapid thermal processing (RTP) system (UniTemp GmbH RTP 100, Pfaffenhofen, Germany). Electrical characteristics measured by the semiconductor device analyzer (Agilent B1500A, Palo Alto, CA, USA) with a source/measurement unit and a waveform generator/fast measurement unit. The Ti TE was biased, while the Pt BE was grounded during the whole measurement.

3. Results and Discussion

Figure 1a shows the structure diagram and optical micrograph of the device. The fresh devices with a thickness of HfOx film more than 5 nm need a soft breakdown (named forming) before normal cycles, by applying a large positive voltage to make the device from high resistance state (HRS) to low resistance state (LRS). In normal cycles, a negative voltage was applied to the device and reset it from LRS to HRS, and a positive voltage set it from HRS to LRS, as shown in Figure 1b. The as-deposited devices do not have self-compliance characteristics. The forming current of the devices will reach the maximum allowable current of the instrument (100 mA) if an additional compliance current (Icc) is not applied, which will be damaged and unable for the devices to reset from LRS to HRS. After thermal annealed at 500 °C for 10 min, the initial resistance and the forming voltage of the devices reduced, and showed the characteristic of self-compliance. As for the devices annealed at 600 °C, the initial resistance and the forming voltage also reduced, and they also show self-compliance characteristic, but the resistance ratio of the devices was too small to act as resistance switching. The possible reason for the degradation of Device C may be the transformation of HfOx from amorphous to crystalline state after annealing at 600 °C for 10 min [16,22,23].
The effect of different HfOx thickness on yield is shown in Figure 2a as black lines. 50 devices were tested for each thickness. The yield of devices annealed at 500 °C is higher than that of unannealed, and indicates that the annealing step helps to improve the yield of devices. The yield increases first, and then decreases with increasing HfOx thickness, and the devices annealed at 500 °C have the highest yield, about 92%. HfOx thickness dependence of resistance ratio and operating voltages were studied. Each parameter was averaged for 20 qualified devices. The red line in Figure 2a shows that the resistance ratio increases as the HfOx thickness increases. The resistance ratio of 500 °C annealed devices is higher than that of unannealed devices, and this improvement is particularly significant for devices over 10 nm Hf. As shown in Figure 2b, the voltage amplitudes required for forming, set, and reset all increase with the thickness of HfOx. For the devices with 5 nm HfOx, the forming voltage is approximately the set voltage, which means it is forming-free. The forming voltage of devices annealed at 500 °C is lower than that of unannealed devices, but this change is not obvious in set and reset voltages.
Figure 3 shows the I-V curves of the first 100 cycles of Device A and B by DC sweep. After 100 cycles, the reset voltage of Device A decreased while the set voltage increased, and the switching ratio degraded. As for Device B, the set/reset voltage barely changed, while the resistance ratio improved a little. The set/reset voltage and HRS/LRS distribution of Device A and B are exhibited in Figure 3c,d, respectively. After annealing at 500 °C for 10 min, the uniformity of the set/reset voltage and HRS/LRS are greatly improved, and the switching ratio has increased from about 8 to about 40.
The erase/write endurance of the devices tested with a waveform generator/fast measurement unit of B1500A in a voltage pulses mode. As shown in Figure 4a, the voltage amplitudes of set, reset, and read pulse are 1.5 V, −2 V, and 0.2 V, respectively. All the pulse widths and the intervals between pulses are 100 µs. The resistance values of Device A fluctuated greatly, and there were failure operations occurred. The resistance values in both states of Device B were stable and no significant degradation of switching ratio observed after 1000 cycles. Figure 4b shows the date retention at 85 °C, indicating a lifetime over 105 s is expected for both Device A and B.
To clarify the switching mechanisms of the devices, the I-V curves of set process were replotted in double logarithmic scale in Figure 5. For Device A, according to space charge limited current (SCLC) mechanism [24,25,26], the I-V characteristics in the low positive bias region exhibit ohmic conduction (I∝V) because much of the space charges injected into HfOx are trapped by the empty trapping levels in HfOx and are unable to contribute to current. As the electric field increases, the number of injected excess carriers increases, and the trapping energy level is gradual filled, so the injected carriers are dominating over the thermal-generated carriers. It is called Child’s law region (I∝V2). The steep current increase region (I∝V6.76) appears when the conducting filaments form between the electrodes. At LRS, it follows ohmic conduction mechanism. The conductive mechanism of Device B is similar to that of Device A at HRS. The difference is that, in the high bias region, Child’s law region is observed again after the steep current increase region. I-V characteristics in LRS exhibit trap-unfilled SCLC conductivity: As the sweep voltage decreases, there is a transition region (I∝V1.74) from Child’s law to ohmic conduction in high bias region, and then ohmic conduction (I∝V1.06) in low bias region.
To better identify the switching mechanism, a junction-size dependence of device resistance was shown in Figure 6a. The data for each state was counted from 20 devices. The resistance of the LRS is independent of the device cell area, indicating the LRS is dominated by localized conducting path [11,19]. For HRS, the resistance was shown as slightly inversely proportional to the cell area, which is attributed to the homogeneous current flowing through the broken part of the conducting filament, and the conducting filament is still partially present. Figure 6a also showed significant device to device variability of resistance for devices without annealing, but the variability decreased for devices annealed at 500 °C.
Based on the above analysis, the possible switching mechanisms of the devices are summarized in Figure 6b. For Device A, as the positive voltage applied to the titanium electrode increases, more and more oxygen ions are generated in the HfOx and move toward the titanium electrode [27], producing titanium oxide. At the same time, the oxygen vacancies accumulate toward the interface of HfOx/Pt and form conductive filaments gradually [28]. The device turns to LRS when the oxygen vacancies conducting filaments connect the TE and BE. When the titanium electrode is applied with a negative voltage, the oxygen ions combine with the oxygen vacancies at the interface of HfOx/Pt [29,30], which leads to the partial rupture of the conductive filament, and the device resets to HRS. When a positive bias is applied to the TE, the conducting filaments reconnect, and the device set to LRS again.
The initial state of Device B is quite different from that of Device A. As Ti tends to react easily with oxygen atoms in transition metal oxides for its low standard free energy of formation of oxides [31], after annealing at 500 °C for 10 min, the top electrode Ti capture the oxygen atoms from HfOx and form a TiOx layer at the interface [32]. Similar situations have been reported in [33] using AlCu as the electrode. Due to the similar conductive behavior of Device A and B at HRS in Figure 4, we assume that the switching layer of Device B is also located at the HfOx layer. However, compared to that of Device A, the TiOx layer of Device B is thick enough to limit the overshoot current as a series resistor. The trap-unfilled SCLC conductivity mechanism of Device B at LRS is also explained, which is caused by the unbroken TiOx. Due to the voltage division of the series resistor, the uniformity and stability of the device are improved. At the beginning of forming/set, the resistance value of the switching layer is much higher than the series resistor, according to Ohm’s law, almost all the voltage is applied to the switching layer. When the voltage increases to the forming/set threshold voltage, the device changes to LRS, and the resistance of the switching layer approaches that of the series resistor. The voltage applied to the switching layer is reduced by the partial voltage action of the series resistor, avoiding the voltage on the switching layer being too large to shoot it down. It also prevents the switching layer from forming/set to a too low resistance state due to the continuously increasing voltage, which improve the uniformity of the resistance of LRS. A too low resistance state also means the conductive path in the device is too strong to reset back to HRS, which increases the possibility of operation failure (as shown in Figure 4a). In addition, since the Ti captures the oxygen ions from HfOx, the oxygen vacancy concentration in HfOx increases. High-density oxygen vacancies make for the formation of CF [3,7] which can be observed from the decreased forming voltage. High-density oxygen vacancies also increase the uniformity of charge carriers’ distribution, and undoubtedly strengthen the CF and enhance its stability. In this way the behavior of CF is improved, and the stability of resistance in both the LRS and the HRS is improved, as shown in Figure 4a.

4. Conclusion

A self-compliance Pt/HfOx/Ti RRAM obtained by annealing at 500 °C for 10 min in N2. The forming voltage and operating current of the annealed devices reduced, meanwhile, the device to device variability, and the stability and the resistance ratio improved. The erase/write testing by pulse mode and data retention testing indicate that the endurance of more than 1000 cycling and a lifetime of over 105 s at 85 °C is expected. Although the accurate switching mechanisms still need to be further studied, through the analysis of the device area dependence of resistance and the conductive mechanism, we made suggestions that self-compliance characteristics and performance improvement of the annealed device may be due to the gathering O of the top electrode Ti from HfOx, and forming a thick layer of TiOx at the Ti/HfOx interface. The annealing step to obtain self-compliance characteristics and improve device performance avoid the additional current limiting devices like diodes and transistors, so it simplifies the fabrication process, and makes the device realize the smallest cell size of 4F2.

Author Contributions

Conceptualization, L.W.; methodology, L.W. and J.L.; writing—original draft preparation, L.W.; writing—review and editing, L.W., J.F. and S.W.; supervision, H.L.; project administration, H.L. and S.W.; funding acquisition, H.L. and S.W. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Foundation for Fundamental Research of China (Grant JSZL2016110B003), the National Natural Science Foundation of China (Grant U1866212), the Major Fundamental Research Program of Shaanxi (Grant 2017ZDJC-26), and the Shanghai Aerospace Science and Technology Innovation Fund.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Scott, J.C. Is there an immortal memory? Science 2004, 304, 62–63. [Google Scholar] [CrossRef]
  2. Kim, K.H.; Gaba, S.; Wheeler, D.; Cruz-Albrecht, J.M.; Hussain, T.; Srinivasa, N.; Lu, W. A functional hybrid memristor crossbar-array/CMOS system for data storage and neuromorphic applications. Nano Lett. 2011, 12, 389–395. [Google Scholar] [CrossRef]
  3. Yang, J.J.; Pickett, M.D.; Li, X.; Ohlberg, D.A.; Stewart, D.R.; Williams, R.S. Memristive switching mechanism for metal/oxide/metal nanodevices. Nat. Nanotechnol. 2008, 3, 429–433. [Google Scholar] [CrossRef] [PubMed]
  4. Chang, T.C.; Chang, K.C.; Tsai, T.M.; Chu, T.J.; Sze, S.M. Resistance random access memory. Mater. Today 2016, 19, 254–264. [Google Scholar] [CrossRef]
  5. Chang, K.C.; Chang, T.C.; Tsai, T.M.; Zhang, R.; Hung, Y.C.; Syu, Y.E.; Chang, Y.F.; Chen, M.C.; Chu, T.J.; Chen, H.L.; et al. Physical and chemical mechanisms in oxide-based resistance random access memory. Nanoscale Res. Lett. 2015, 10, 120. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  6. Akinaga, H.; Shima, H. Resistive random access memory (ReRAM) based on metal oxides. Proc. IEEE 2010, 98, 2237–2251. [Google Scholar] [CrossRef]
  7. Li, Y.; Long, S.; Liu, Q.; Lv, H.; Liu, M. Resistive Switching Performance Improvement via Modulating Nanoscale Conductive Filament, Involving the Application of Two-Dimensional Layered Materials. Small 2017, 13, 1604306:1–1604306:35. [Google Scholar] [CrossRef] [Green Version]
  8. Ahn, E.C.; Wong, H.S.P.; Pop, E. Carbon nanomaterials for non-volatile memories. Nat. Rev. Mater. 2018, 3, 18009. [Google Scholar] [CrossRef]
  9. Huang, Y.J.; Lee, S.C. Graphene/h-BN Heterostructures for Vertical Architecture of RRAM Design. Sci. Rep. 2017, 7, 9679:1–9679:9. [Google Scholar] [CrossRef] [PubMed]
  10. Cai, Y.; Tan, J.; YeFan, L.; Lin, M.; Huang, R. A flexible organic resistance memory device for wearable biomedical applications. Nanotechnology 2016, 27, 275206:1–275206:6. [Google Scholar] [CrossRef]
  11. Ling, H.; Yi, M.; Nagai, M.; Xie, L.; Wang, L.; Hu, B.; Huang, W. Controllable Organic Resistive Switching Achieved by One-Step Integration of Cone-Shaped Contact. Adv. Mater. 2017, 29, 1701333:1–1701333:9. [Google Scholar] [CrossRef] [PubMed]
  12. Wang, Q.; Niu, G.; Roy, S.; Wang, Y.; Zhang, Y.; Wu, H.; Zhai, S.; Bai, W.; Shi, P.; Song, S.; et al. Interface-engineered reliable HfO2-based RRAM for synaptic simulation. J. Mater. Chem. C 2019, 7, 12682–12687. [Google Scholar] [CrossRef]
  13. Qi, M.; Tao, Y.; Wang, Z.; Xu, H.; Zhao, X.; Liu, W.; Ma, J.; Liu, Y. Highly uniform switching of HfO2−x based RRAM achieved through Ar plasma treatment for low power and multilevel storage. Appl. Surf. Sci. 2018, 458, 216–221. [Google Scholar] [CrossRef]
  14. Hong, X.L.; Loy, D.J.; Dananjaya, P.A.; Tan, F.; Ng, C.; Lew, W. Oxide-based RRAM materials for neuromorphic computing. J. Mater. Sci. 2018, 53, 8720–8746. [Google Scholar] [CrossRef]
  15. Liu, C.F.; Tang, X.G.; Wang, L.Q.; Tang, H.; Jiang, Y.P.; Liu, Q.X.; Li, W.H.; Tang, Z.H. Resistive switching characteristics of HfO2 thin films on mica substrates prepared by Sol-Gel process. Nanomaterials 2019, 9, 1124:1–1124:11. [Google Scholar] [CrossRef] [Green Version]
  16. Wilk, G.D.; Wallace, R.M.; Anthony, J.M. High-κ gate dielectrics: Current status and materials properties considerations. J. Appl. Phys. 2001, 89, 5243–5275. [Google Scholar] [CrossRef]
  17. Kingon, A.I.; Maria, J.P.; Streiffer, S.K. Alternative dielectrics to silicon dioxide for memory and logic devices. Nature 2000, 406, 1032–1038. [Google Scholar] [CrossRef]
  18. Chang, T.C.; Jian, F.Y.; Chen, S.C.; Tsai, Y.T. Developments in nanocrystal memory. Mater. Today 2011, 14, 608–615. [Google Scholar] [CrossRef]
  19. Li, Y.; Lv, H.; Liu, Q.; Long, S.; Wang, M.; Xie, H.; Zhang, K.; Huo, Z.; Liu, M. Bipolar one diode–one resistor integration for high-density resistive memory applications. Nanoscale 2013, 5, 4785–4789. [Google Scholar] [CrossRef]
  20. Zheng, H.X.; Chang, T.C.; Xue, K.H.; Su, Y.T.; Wu, C.H.; Shih, C.C.; Tseng, Y.T.; Chen, W.C.; Huang, W.C.; Chen, C.K.; et al. Reducing Forming Voltage by Applying Bipolar Incremental Step Pulse Programming in a 1T1R Structure Resistance Random Access Memory. IEEE Electron. Device Lett. 2018, 39, 815–818. [Google Scholar] [CrossRef]
  21. Gao, X.P.; Fu, L.P.; Chen, C.B.; Yuan, P.; Li, Y.T. Self-compliance multilevel storage characteristic in HfO2-based device. Chin. Phys. B 2016, 25, 106102:1–106102:3. [Google Scholar] [CrossRef]
  22. Jeong, S.W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xiaoyu, W.; Jung, J. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors. Thin Solid Films 2006, 515, 526–530. [Google Scholar] [CrossRef]
  23. Tao, Q.; Jursich, G.M.; Takoudis, C. Investigation of Surface Sputtering and Post Annealing Effects on Atomic Layer Deposited HfO2 and TiO2. IEEE Trans. Semicond. Manuf. 2011, 24, 139–144. [Google Scholar] [CrossRef]
  24. Wright, G.T. Mechanisms of space-charge-limited current in solids. Solid State Electron. 1961, 2, 165–189. [Google Scholar] [CrossRef]
  25. De Boer, R.W.I.; Morpurgo, A.F. Influence of surface traps on space-charge limited current. Phys. Rev. B 2005, 72, 073207:1–073207:4. [Google Scholar] [CrossRef] [Green Version]
  26. Xia, Y.; He, W.; Chen, L.; Meng, X.; Liu, Z. Field-induced resistive switching based on space-charge-limited current. Appl. Phys. Lett. 0229, 90, 022907:1–022907:3. [Google Scholar] [CrossRef]
  27. Sowinska, M.; Bertaud, T.; Walczyk, D.; Thiess, S.; Schubert, M.A.; Lukosius, M.; Drube, W.; Walczyk, Ch.; Schroeder, T. Hard x-ray photoelectron spectroscopy study of the electroforming in Ti/ HfO2-based resistive switching structures. Appl. Phys. Lett. 2012, 100, 233509:1–233509:5. [Google Scholar] [CrossRef] [Green Version]
  28. Brivio, S.; Tallarida, G.; Cianci, E.; Spiga, S. Formation and disruption of conductive filaments in a HfO2/TiN structure. Nanotechnology 2014, 25, 385705:1–385705:7. [Google Scholar] [CrossRef]
  29. Goux, L.; Wang, X.P.; Chen, Y.Y.; Pantisano, L.; Jossart, N.; Govoreanu, B.; Kittl, J.A.; Jurczak, M.; Altimime, L.; Wouters, D.J. Roles and effects of TiN and Pt electrodes in resistive-switching HfO2 systems. Electrochem. Solid State Lett. 2011, 14, H244–H246. [Google Scholar] [CrossRef]
  30. Lin, Y.S.; Zeng, F.; Tang, S.G.; Liu, H.Y.; Chen, C.; Gao, S.; Wang, Y.G.; Pan, F. Resistive switching mechanisms relating to oxygen vacancies migration in both interfaces in Ti/HfOx/Pt memory devices. J. Appl. Phys. 2013, 113, 064510:1–064510:5. [Google Scholar] [CrossRef]
  31. Lee, C.B.; Kang, B.S.; Benayad, A.; Lee, M.J.; Ahn, S.E.; Kim, K.H.; Stefanovich, G.; Park, Y.; Yoo, I.K. Effects of metal electrodes on the resistive memory switching property of NiO thin films. Appl. Phys. Lett. 2008, 93, 042115:1–042115:3. [Google Scholar] [CrossRef]
  32. Kim, H.; McIntyre, P.C.; Chui, C.O.; Saraswat, K.C.; Stemmer, S. Engineering chemically abrupt high-k metal oxide/silicon interfaces using an oxygen-gettering metal overlayer. J. Appl. Phys. 2004, 96, 3467–3472. [Google Scholar] [CrossRef]
  33. Lee, H.Y.; Chen, P.S.; Wu, T.Y.; Chen, Y.S.; Chen, F.; Wang, C.C.; Tzeng, P.J.; Lin, C.H.; Tsai, M.J.; Lien, C. HfOx Bipolar Resistive Memory with Robust Endurance Using AlCu as Buffer Electrode. IEEE Electr. Device Lett. 2009, 30, 703–705. [Google Scholar] [CrossRef]
Figure 1. The structure and I-V characteristics of the 2 × 2 μm2 devices with 10 nm thick HfOx. (a) the structure diagram and optical micrograph of the devices; (b) forming and typical set/reset characteristics of Device A, B, and C.
Figure 1. The structure and I-V characteristics of the 2 × 2 μm2 devices with 10 nm thick HfOx. (a) the structure diagram and optical micrograph of the devices; (b) forming and typical set/reset characteristics of Device A, B, and C.
Nanomaterials 10 00457 g001
Figure 2. HfOx thickness dependence of yield, resistance ratio (a) and operating voltages (b).
Figure 2. HfOx thickness dependence of yield, resistance ratio (a) and operating voltages (b).
Nanomaterials 10 00457 g002
Figure 3. I-V characteristics of the first 100 DC scans of 2 × 2 μm2 and 10 nm thick HfOx devices without annealing (a) and annealed at 500 °C (b), and their accumulative probability of set/reset voltages (c) and HRS/LRS (d).
Figure 3. I-V characteristics of the first 100 DC scans of 2 × 2 μm2 and 10 nm thick HfOx devices without annealing (a) and annealed at 500 °C (b), and their accumulative probability of set/reset voltages (c) and HRS/LRS (d).
Nanomaterials 10 00457 g003aNanomaterials 10 00457 g003b
Figure 4. Cycling endurance (a) and data retention (b) at 85 °C for Device A and B. The area of the devices is 2 × 2 μm2 and the thickness of HfOx is 10 nm.
Figure 4. Cycling endurance (a) and data retention (b) at 85 °C for Device A and B. The area of the devices is 2 × 2 μm2 and the thickness of HfOx is 10 nm.
Nanomaterials 10 00457 g004
Figure 5. I-V characteristics of (a) Device A and (b) Device B in a double-logarithmic plot. The area of the devices is 2 × 2 μm2 and the thickness of HfOx is 10 nm.
Figure 5. I-V characteristics of (a) Device A and (b) Device B in a double-logarithmic plot. The area of the devices is 2 × 2 μm2 and the thickness of HfOx is 10 nm.
Nanomaterials 10 00457 g005
Figure 6. (a) Device area dependence of resistance of 10 nm HfOx devices and (b) schematic description of the resistive switching mechanism.
Figure 6. (a) Device area dependence of resistance of 10 nm HfOx devices and (b) schematic description of the resistive switching mechanism.
Nanomaterials 10 00457 g006

Share and Cite

MDPI and ACS Style

Wu, L.; Liu, H.; Lin, J.; Wang, S. Self-Compliance and High Performance Pt/HfOx/Ti RRAM Achieved through Annealing. Nanomaterials 2020, 10, 457. https://doi.org/10.3390/nano10030457

AMA Style

Wu L, Liu H, Lin J, Wang S. Self-Compliance and High Performance Pt/HfOx/Ti RRAM Achieved through Annealing. Nanomaterials. 2020; 10(3):457. https://doi.org/10.3390/nano10030457

Chicago/Turabian Style

Wu, Lei, Hongxia Liu, Jinfu Lin, and Shulong Wang. 2020. "Self-Compliance and High Performance Pt/HfOx/Ti RRAM Achieved through Annealing" Nanomaterials 10, no. 3: 457. https://doi.org/10.3390/nano10030457

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop