Next Article in Journal
Quantifying the Rate, Degree, and Heterogeneity of Morphological Change during an Epithelial to Mesenchymal Transition Using Digital Holographic Cytometry
Next Article in Special Issue
Influence of Heterointerfaces on the Kinetics of Oxygen Surface Exchange on Epitaxial La1.85Sr0.15CuO4 Thin Films
Previous Article in Journal
Bond Strength Assessment of Concrete-Corroded Rebar Interface Using Artificial Neutral Network
Previous Article in Special Issue
Recent Advances in Lithiophilic Porous Framework toward Dendrite-Free Lithium Metal Anode
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Advances in Materials Design for All-Solid-state Batteries: From Bulk to Thin Films

1
Department of Mechanical Engineering, College of Engineering and Computing, University of South Carolina, Columbia, SC 29208, USA
2
Chemical and Materials Engineering Department, Charles W. Davidson College of Engineering, San José State University, San José, CA 95192-0080, USA
*
Authors to whom correspondence should be addressed.
Appl. Sci. 2020, 10(14), 4727; https://doi.org/10.3390/app10144727
Submission received: 15 June 2020 / Revised: 1 July 2020 / Accepted: 7 July 2020 / Published: 9 July 2020

Abstract

:

Featured Application

All solid-state lithium batteries, all solid-state thin-film lithium batteries.

Abstract

All-solid-state batteries (SSBs) are one of the most fascinating next-generation energy storage systems that can provide improved energy density and safety for a wide range of applications from portable electronics to electric vehicles. The development of SSBs was accelerated by the discovery of new materials and the design of nanostructures. In particular, advances in the growth of thin-film battery materials facilitated the development of all solid-state thin-film batteries (SSTFBs)—expanding their applications to microelectronics such as flexible devices and implantable medical devices. However, critical challenges still remain, such as low ionic conductivity of solid electrolytes, interfacial instability and difficulty in controlling thin-film growth. In this review, we discuss the evolution of electrode and electrolyte materials for lithium-based batteries and their adoption in SSBs and SSTFBs. We highlight novel design strategies of bulk and thin-film materials to solve the issues in lithium-based batteries. We also focus on the important advances in thin-film electrodes, electrolytes and interfacial layers with the aim of providing insight into the future design of batteries. Furthermore, various thin-film fabrication techniques are also covered in this review.

1. Introduction

Lithium-ion batteries (LIBs) are one of the great successes of electrochemical energy storage devices utilized in diverse applications such as portable electronics, hybrid automobiles and even large-scale electrical power storage systems [1,2,3,4]. Since the first market emergence of LIBs in the 1990s, the performance of LIBs has been remarkably improved to meet the increasing demand for new energy storage systems with high energy density, high power density, long cycle life and a wide range of operating temperatures [5,6]. Moreover, rechargeable batteries are rapidly expanding to drivetrains [7,8], as can be seen from the quadrupled global sales of plug-in light vehicles from 0.55 to 2.21 million cars annually from 2015 to 2019 [9]. Thus, developing revolutionary energy storage systems is a critical task in today’s energy-dependent society.
LIBs are composed of a cathode and an anode separated by an electrolyte. During discharging, the lithium ions (Li+) migrate through the electrolyte from the anode to the cathode and a discharging current flows through the external circuit, whereas the use of electrical energy pushes the electrons and Li+ back to the anode during the charging process. Most of the commercial LIBs employ liquid electrolytes owing to their large electrochemical voltage windows, high ionic conductivities and great wettability with the internal components of LIBs [10]. Generally, the liquid electrolyte is a mixture of linear and cyclic carbonate-based organic solvents such as diethyl carbonate (DEC) [11], ethyl methyl carbonate (EMC) [11,12,13], dimethyl carbonate (DMC) [11,12], ethylene carbonate (EC) [12,13,14], propylene carbonate (PC) [11], and lithium salt such as lithium hexafluorophosphate (LiPF6), lithium hexafluoroarsenate monohydrate (LiAsF6), lithium perchlorate (LiClO4) and lithium tetrafluoroborate (LiBF4) [13,15,16]. However, these liquid electrolytes have severe drawbacks [17], including high flammability, narrow electrochemical stability windows, limited operating temperatures and irreversible decomposition. Due to their high flammability, organic liquid electrolytes are believed to be the main reason for fires and explosions in LIBs [18]. In addition, the formation of lithium dendrites with organic liquid electrolytes [19] leads to internal short circuits causing catastrophic failure of lithium-based batteries [20]. Therefore, developing alternative battery systems to prevent such issues of the liquid electrolytes as well as to provide high energy density and power is indispensable.
All-solid-state batteries (SSBs), which use non-volatile solid electrolytes, have emerged as an alternative battery system to replace the conventional LIBs with liquid electrolytes [21,22,23]. Not only are SSBs inherently safer owing to the lack of flammable organic components, SSBs also have a large electrochemical stability window, thus enabling a dramatic improvement in the energy density [24,25,26]. Furthermore, the SSBs have much higher power and energy characteristics compared with various batteries which are currently being developed as next-generation batteries [27,28]. The electrodes are required to have the following features in order to achieve high energy density: (i) high gravimetric capacity (in Ah/kg) and volumetric capacity (in Ah/L), i.e., a high number of electrons transferred per unit of reaction; (ii) high (cathode) and low (anode) standard redox potential of the respective electrode redox reaction, leading to high cell voltage. Moreover, electrochemical reactions in rechargeable cells at both anode and cathode electrodes must be highly reversible to maintain the capacity for thousands of cycles. Recent studies, therefore, have focused on developing new electrode materials [29,30] or engineering electrode architectures [31,32] to increase the energy density of SSBs. Among various attractive candidate materials for electrodes, the selection of cathode materials depends on the battery type, i.e., Li+ or Li-metal batteries. In the case of LIBs, air-stable lithium-based intercalation compounds should be used as a cathode due to the absence of lithium in the anode [33,34,35]. On the contrary, for Li-metal batteries, the cathode does not need to be lithiated before cell assembly owing to the use of metallic lithium as an anode [36]. Among a large number of materials proposed for the cathode in LIBs, transition metal oxides have been recognized as one of the most promising cathode materials [37,38,39]. For the anode, graphitic carbon allotropes were mostly used in LIBs, but the use of lithium metal can significantly increase the volumetric energy density by up to 70% with respect to graphite (Figure 1) [40,41]. However, lithium metal electrodes encounter formidable challenges such as uncontrollable dendrite growth and high reactivity with solid electrolytes, which hampers the use of lithium metal electrodes [20]. Alternatively, recent studies of anode materials have focused on lithium transition metal oxides, vanadium oxides or lithium metal nitrides [42,43,44]. One of the key features of SSBs is replacing liquid electrolytes with solid electrolytes, which can dramatically enhance the safety of batteries. In order to replace the current organic liquid electrolytes, solid-state electrolytes need to possess high ionic conductivity, negligible electronic conductivity and good stability in contact with the anode and cathode electrodes [45,46]. Many different types of inorganic solid electrolytes—Na superionic conductor (NASICON) [47], perovskite [48], lithium phosphorous oxy-nitride (LiPON) [49,50,51], sulfide [27,52,53] and garnet [54,55,56]—are widely studied in SSBs.
With the development of SSBs, all-solid-state thin-film batteries (SSTFBs) have received significant attention in recent years [57,58,59] that can be used for low power microelectronic devices (e.g., implantable medical devices) and energy harvesting technologies [60]. Similar to conventional LIBs, SSTFBs consist of a cathode, an anode and an electrolyte. Owing to the difference in chemical potentials of lithium in the two electrodes, the transfer of Li+ from the anode through the electrolyte into the cathode (discharge) delivers energy, whereas the reverse lithium transfer (charge) consumes energy. One unique feature of SSTFBs is the usage of nanostructured thin films and thus SSTFBs can significantly reduce the transport distance of charge carriers, enhancing the kinetics of lithium storage [61,62]. Furthermore, the overall performance of SSTFBs can be controlled by modulating the physical and chemical properties of thin films. In order to make SSTFBs, all the battery components need to be fabricated into multilayered thin films by suitable thin-film techniques.
While SSBs and SSTFBs have shown their potential as the next major advances beyond LIBs, their performances have not yet been reached the practical level mainly due to the limits of intrinsic material properties. Therefore, understanding and controlling the properties of electrode and electrolyte materials will provide insight into the enhancement of the next-generation battery performances. Despite several available reviews of SSBs, most efforts have primarily focused on progress in the solid-state electrolytes [55,75,76,77,78,79]. Indeed, only a few comprehensive reviews [80,81,82] on thin-film electrodes and electrolytes for SSTFBs are available. In this review, we aim to provide a synopsis of the major developments and achievements in electrode and electrolyte materials in both bulk and thin-film forms for SSBs and SSTFBs, which can facilitate the development of high-performance electrochemical energy storage systems. First, we discuss recent progress in bulk-based cathodes, anodes and electrolytes for lithium-based batteries. We then cover in detail the interfacial phenomena between the two electrodes and the electrolyte, followed by approaches to resolve the interfacial issues by thin-film technologies. We also briefly review recent advances in SSTFBs, focusing thin film-based components. Finally, we conclude with an outlook on the opportunities in future research.

2. Electrodes and Electrolytes for Lithium-Based Batteries

As described earlier, the alleviation of safety concerns by using solid electrolytes is the key feature of SSBs. Moreover, solid electrolytes exhibit a large electrochemical window (up to five volts), which can enable the utilization of high voltage cathode materials as well as lithium metal anode. In this section, we discuss the merits and challenges of the bulk-based electrode and electrolyte materials for the next-generation SSBs. Electrode engineering and solid electrolyte development strategies are explained in detail.

2.1. Electrodes

Selecting electrode materials for the next generation of batteries needs careful considerations with regards to safety, energy density, cost, cyclability, crustal abundance and recyclability. Electrode chemistries which rely on the mining of rare elements and the utilization of complex ceramic processing greatly diminish the sustainability of an electrode material [83]. In addition to the extraction and processing of raw materials, a holistic approach considering the electrode synthesis and device fabrication must be taken into the actual energy cost of battery fabrications.

2.1.1. Anodes

Lithium is the most attractive anode material because of its high theoretical capacity (3860 mAh/g) and its lowest negative electrochemical potential (–3.040 V vs. SHE). Despite these advantageous intrinsic properties, there are massive challenges to the successful incorporation of lithium metal anodes into commercialized lithium-based batteries. The foremost obstacle is the formation of lithium metal dendrites during cycling, which can cause thermal run-away [84]. Since the first patent for a dichalcogenide lithium metal battery was awarded to Moli Energy in 1980, numerous strategies have been developed to manage the formation of dendrites [85]. Approaches such as electrolyte additives [86], artificial solid electrolyte interface (SEI) layers [87] and engineering of the interfacial layers and lithium host [88,89] have offered moderate successes. A very appealing solution is the use of a solid electrolyte mechanical barrier to inhibit dendrite growth, which will be discussed in detail later. With increasing interest in SSBs, recent investigations into lithium-metal anodes have focused on the nucleation kinetics, flow behavior and host–matrix type electrode supports in SSBs. For example, using conductive atomic force microscopy, Lushta et al. [90] described the characteristic temperature-independent energy barriers to lithium nucleation and growth on a Li1+xAlxTi2−x(PO4)3 (LATP) type solid conductive glass substrate. Interestingly, the nucleation was shown only to occur on the LATP and not on the interspersed insulating AlPO4.
Silicon (Si) with a specific capacity (3580 mAh/g) similar to lithium metal anode is an attractive anode material for the next generation of batteries [91]. The primary challenge to further implementation of the Si anode is the volume expansion in excess of 300% when fully intercalated with lithium. The associated stresses induce structural failure causing pulverization of the particles, which results in poor capacity retention and inferior coulombic efficiency [92]. Strategies to improve this bottleneck such as surface engineering (pores) [93], size and shape control (nanowires/tubes) [94,95,96], surface modification [97], component modulation (M–Si alloying) and encapsulation in a carbon-rich matrix (graphene, graphdiyne) are widely studied. Throughout these strategies, maintenance of mechanical and conductive networks and interfacial contact are the thematic challenges. Here, we introduce recent works on Si engineering to improve the performance of LIBs. Shang et al. [98] recently synthesized 2D-graphdiyne-wrapped silicon nanoparticles (Si–NPs), which showed a capacity of 4122 mAh/g at 0.2 A/g and 1988 mAh/g at 10 A/g. Zhou et al. [99] used a different approach to ensure transport across the carbon support by using an N-doped graphite coating (8–12 nm) of Si-NPs to facilitate Li+ mobility. Xu et al. [100] reported the encapsulation of Si-NPs in a spiderweb-like carbon structure by using graphene oxide anchors. Hwang et al. [101] synthesized porous silicon nanowires by metal-assisted chemical etching of boron-doped p-type Si(100) wafers with Ag nanoparticles. By controlling the doping concentration through an acid-mediated synthesis, the authors achieved high surface area silicon nanowires (up to 260 m2/g) with a low charge transfer resistance of 9 Ohms. Li et al. [102] demonstrated the creation of a Si–NP/C composite anode with high tap-density (~1.0 g/cm3) anchored onto flake graphite.
Graphitic carbon is the most widely used anode material for commercial LIBs. Energy is stored via lithium intercalation between constituent graphene sheets with a fully lithiated formula of LiC6. Studies on the insertion of Li in carbon are still active. The super-dense phase of lithium intercalated between a graphene bilayer was reported by Kühne et al. [103]. Using in situ TEM imaging, they revealed an in-plane lattice constant of 3.1 Å, which is an unexpected result, compared to the ordinarily required very low temperatures and high pressures for such dense packing. Although it may be thermodynamically unfavorable for ions with larger radii than lithium to form intercalation compounds in bulk graphite, Nandi and Das reported the use of exfoliated graphite (foam) used with Cu and Al cathodes in an aqueous electrolyte [104]. Yang et al. [105] reported the use of a graphite anode for use with a water-in-salt electrolyte. A specific capacity of 243 mAh/g at 4.2 V vs. Li/Li+ was reported for mixed lithium halide (Br, Cl). Graphdiyne with natural pores could be a high capacity carbon-based alternative to graphene-based anodes. Mortazavi et al. [106] predicted that P- and N-doped triphenylene–graphdiyne nanosheet monolayers could yield capacities well above graphite. From first principle calculations, they suggested capacities of 1979 mAh/g and 2664 mAh/g for P–TpG and N–TpG, respectively.
Ti-based compounds are promising anode materials known for their outstandingly high-rate capacity, negligible volume change and cycling stability, as well as improved safety over graphite [107]. Ti-based materials, however, suffer from low specific capacity, low electronic/ionic conductivity and relatively low gravimetric/volumetric energy density. To compete with commercial anode materials, several approaches have been studied including morphologic control, doping, surface modification, increasing the working voltage by using high-voltage cathodes and maximization of electroactive materials [108]. Among TiO2-based anodes that exist as eight different phases (Figure 2), the predominant three phases of interest for lithium insertion anodes are rutile, anatase and bronze phase (TiO2(B)) with typical operating potentials ~1.3–1.8 V vs. Li/Li+. Li et al. [109] reported the synthesis of porous nanospheres of TiO2 (anatase and B phase) coated with graphene nanoribbons to have a high initial charge capacity of 390 mAh/g and 79.5% coulombic efficiency. Spinel Li4Ti5O12 (LTO) has also received considerable attention because of its excellent cycling stability originating from small volume changes during Li insertion/extraction reactions. However, its low electronic and ionic conductivities required further engineering to enhance the power performance of batteries. For example, Bai et al. [110] reported the enhanced performance of batteries by substituting Cu for Li in LTO in which Cu0.8LTO showed a capacity of 138.5 mAh/g at 40 C rate. Furthermore, capacity retention of 90.4% (132.3 mAh/g) was obtained after 2500 cycles at 10 C with Cu0.8LTO.
Recent progress on Ti-based anode was achieved by porosity control, structural water removal or composite formation. Liu et al. [112] studied the interface between a dual anatase/(B) phase nanoflower heterostructure (pore size < 10 nm) formed by a one-step hydrothermal processing method. According to this study, TiO2(B) doping of anatase can effectively improve the pseudocapacitive behavior lowering polarization. Using density functional theory calculations, the authors also suggested that the interface can act as a cationic site reservoir. The highest reported discharge capacity was 343.9 mAh/g attributed to vacancies in the Ti3+–O bonds, Ti–C bonds and high porosity. Wang et al. [113] reported a low-temperature (190 °C) multi-phase synthesis of layered lithium titanate hydrate (Li1.25H1.63Ti2O5.44−σ) by removing loosely bound water (such as adsorbed and crystallographic) but keeping tightly bound pseudohydrates (hydroxides and hydronium ions or -OH, -H surface terminations). This method avoided detrimental structural coarsening. Xu et al. [114] reported a strategy to extend the ion-insertion channels within a titanate anode by doping Li1.81H0.19Ti2O5·nH2O with 3 nm MoS2 quantum dots. A strong heterointerfacial effect between LTO and the coupled MoS2 quantum dots created a space charge layer near the interface, reducing the diffusion distance due to the significantly altered redox potential distribution near the surface. Christensen et al. [115] reported that disordered nano-rutile transforms into a composite of ~5 nm domains of a layered LixTiO2 α-NaFeO2-type structure with ~1 nm LixTiO2 grain boundaries with a columbite-like structural motif. Yang et al. [116] reported the synthesis of a TiO2@α–Fe2O3 core-shell nanostructure to deliver a high capacity of 820 mAh/g after 1000 cycles at 500 mA/g.
Han et al. [117] reported a new insertion type anode material, TiNb2O7 (TNO), in 2011. TNO has a monoclinic structure (C2/m) utilizing the Ti (IV)/Ti (III), Nb (V)/Nb (IV) and Nb (IV)/Nb (III) redox couples to insert 5 Li+ per formula (theoretical capacity: 387.6 mAh/g). It is a high potential anode (1.3–1.5 V vs. Li/Li+); additionally, TNO has excellent cycling stability and its volumetric capacity is twice (1680 mAh/cm3) compared to that of graphite (837 mAh/cm3). Despite its high theoretical capacity, poor electronic and ionic conductivities [118] of TNO require additional materials engineering approaches such as doping [119], conductive layer coating [120] or nanomaterials formation [121] to improve the performance of batteries. Increasing the electrochemically active surface area by creating porous structures was effective in maintaining a long cycle life. Park et al. [122] synthesized porous TNO nanotubes by electrospinning. These nanotubes maintained a capacity of 210 mAh/g after 700 cycles at 1 C. Guo et al. [123] formed a porous TNO structure by using a sol–gel method and achieved a capacity of ~200 mAh/g after 1000 cycles at 5 C. Jo et al. [124] synthesized mesoporous TNO crystals using poly(ethylene oxide)-b-poly(styrene) block copolymers reporting 190 mAh/g of capacity after 2000 cycles at 10 C. Summary of the discharge capacity among anode materials are provided in Table 1.

2.1.2. Cathodes

Lithium transition metal oxides have an α-NaFeO2-type structure, which is a distorted rock salt superstructure. The general formula of lithium transition metal oxides can be written as LiMO2 (M = V, Cr, Fe, Co and Ni). With a theoretical capacity of 274 mAh/g, lithium cobalt oxide (LiCoO2, LCO) is the first layered transition metal oxide cathode successfully commercialized. The success of this material as a cathode for LIBs is easily evidenced by its utilization in most portable electronic devices. Unfortunately, the theoretical capacity is unrealized due to the presence of phase transitions during the lithiation and delithiation processes [125,126,127].
Doping has been widely employed to suppress the order–disorder transitions of LCO, the transition from hexagonal to monoclinic structure [128]. Predominantly, Mg, Zr, Al, La, Ni, Fe, Cr, Mn and Ti have been used to suppress detrimental phase transitions which lead to crack formation due to the associated large mechanical stresses. Liu et al. [129] demonstrated that doping with La and Al enhances the capacity (190 mAh/g at 0.1 C) of LCO and maintains a 96% capacity retention after 50 cycles. According to this study, La doping increases the c-axis distance and the doped Al acts as a positive charge center, which is expected to suppress the order–disorder transition. The Li+ diffusion coefficients were shown to improve from 6.5 × 10−11 cm2/s (pristine) to 1.2 × 10−10 cm2/s (doped LCO) during the initial charge stage.
Manganese-based oxide (LiMnO2 or LiMn2O4) cathodes are widely seen as an economical replacement of Co. Although these materials have merits in abundance, they suffer from first cycle irreversible capacity, low discharge capacity retention, low rate capability and substantial charge–discharge voltage hysteresis. Recently, Uyama et al. [130] reported a tetragonal phase of LiMnO2 synthesized at high pressures (5–12 GPa) that shows a dramatic capacity increase during the initial cycles to 185 mAh/g. Tian et al. [131] showed that the Mn-ion migration into the Li layer significantly blocks Li+ diffusion in monoclinic LiMnO2 by first-principle calculations. The cubic spinel LiMn2O4 (LMO) received more attention than other phases because the layered LiMnO2 is shown to be a metastable phase [132]. However, manganese dissolution from the spinel phase is a challenge to achieve long cycle life batteries [133,134]. Using the LMO planar structure, Hirayama et al. [135] showed that the (111) surface is more stable than (110) in carbonate-based electrolytes. Tang et al. [136] observed the formation of the Mn3O4 phase, a good source of soluble Mn2+, on the LMO surface after cycling. Doping of the spinel phase with Al [137], Yt [138], Ce [139], Nb or PO4 [140] is useful for stabilizing capacity and mitigating the discharge voltage decay (Figure 3).
In 1994, a high voltage cathode, LiNiVO4 (LNVO) with an inverse spinel structure and space group Fd-3 m (Oh7) (Figure 4), was introduced, exhibiting an operating potential of 4.8 V (vs. Li/Li+) with 1 M LiPF6 PC/EC/DMC (25/25/50) electrolyte [141,142]. These high voltage materials are good candidates for SSBs cathodes as some of the solid-state electrolytes presented an electrochemical stability limit higher than 5 V [143]. A similar class of high voltage inverse spinel vanadate cathodes includes LiCoVO4 and LiMnVO4 [144]. LNVO has been prepared in several different ways [145]. Thongtem et al. [146,147] developed a synthesis method using malic and tartaric acid complexes to prepare nanocrystallites. The inverse-spinel structure has been prepared by a sol–gel method [148,149]. Qin et al. [150] reported the synthesis of porous LNVO powder using solution combustion.
Similar to LMO, LiNi1−x−yMnxCoyO2 (NMC) type cathodes are widely seen as alternatives to LCO. The first study of these cathode types reported by Ohzuku et al. [152] with stoichiometry LiNi1/3Mn1/3Co1/3O2 (NMC-333) exhibited good rate capability and practical capacity about 160 mAh/g. In alloys that maintain an equimolar ratio between Ni and Mn, only Ni and Co are electroactive, with Mn generally serving as a structural stabilizer [153,154]. Xu et al. [155] reviewed several nickel rich cathode phases with reduced cobalt content. Across 35 unique NMC stoichiometries from 47 different literature reports, the mean cutoff voltage range is 2.7–4.4 V vs. Li/Li+ with an average first cycle discharge capacity of 173 mAh/g. At higher operating voltages (3.0–4.5 V vs. Li/Li+), Jung et al. [156] reported the degradation of rhombohedral NMC-523 to be covered in a spinel phase Ni0.45Mn0.2Co0.3 with spots of cubic NiO. The electrochemically inactive NiO rocksalt phase is shown to cover the NMC particles entirely when operating at 3.0–4.8 V. Most recent work to maintain the surface integrity of NMC particles has been focused on surface modification/doping [157], regulation of crystallinity [158,159] and addition of electrolyte additives [160,161,162,163]. Lv et al. [164] reported the doping of a nickel rich LiNi0.83Co0.12Mn0.05O2 phase by co-precipitation and sintering with Mg. The sample sintered at 770 °C demonstrated an initial discharge capacity of 201.8 mAh/g, with 74% capacity retention after 200 cycles. Wu et al. [165] reported NMC-811 doped with Ga3+ (Figure 5) to partially replace Mn4+ by co-precipitation, demonstrating that the Ga3+ doping contributed to the reduced polarization, lowered charge transfer resistance and increased diffusion coefficient. They observed that the best initial discharge capacity was lower than the undoped samples, but all doped samples showed much better capacity retention (~90%) after 100 cycles.
Zhang et al. [166] reported doped NMC-811 with Ti using a solid-state synthesis method, demonstrating high initial discharge capacity (214.9 mAh/g at 0.1 C and 165.02 mAh/g at 1 C, 136.9 mAh/g at 5 C) and improved cyclic stability (77.01% after 150th at 1 C and 86.54% after 50th at 5 C) by increasing the interlayer spacing. They also reported a lithium diffusion coefficient of 6.8 × 10−12 cm2/s. Fluorine doping has also been used to achieve better performance with NMC cathode material. Calcination with LiF was shown to increase the initial discharge capacity of NMC-811 to 213.5 mAh/g with an 87.7% capacity retention after 50 cycles at 1 C [167]. Better retention was obtained after ball milling and annealing the fluoride-doped particles. After annealing, the initial discharge capacity slightly decreased (200.8 mAh/g), but the capacity retention was greatly improved (95.7% after 50 cycles at 1 C). Wang et al. [168] used the co-doping of La and F to improve surface stability. In this study, the capacity retention was 86.63% and 80.79% after 200 cycles and 300 cycles at 1 C and 8 C, respectively, with an initial discharge capacity of 205 mAh/g at 0.1 C. The highest discharge capacity was achieved by doping NMC with tungsten. Zhang et al. [169] report an initial high discharge capacity of 259.2 mAh/g for W-doped Li1.2Mn0.54Ni0.13Co0.13O2 through a sol–gel method. The valence state of tungsten was determined to be 6, and the resulting structure was identified as hexagonal α-NaFeO2. Hashigami et al. [170] reported high capacity retention of 92.4% after 100 cycles with an initial discharge capacity of 186 mAh/g at 0.1 C rate for NMC-811 impregnated with 2% wt. lithium silicate. A summary of the discharge capacities among cathode materials is provided in Table 2.

2.2. Solid Electrolytes

Due to the lack of liquid electrolytes with electrochemical windows above 5 V, until now, the selection of electrode materials has been limited. However, recent advances in developing inorganic solid electrolytes have demonstrated the possibility of using other electrode materials. Ideal inorganic solid electrolytes are required to have thermal/chemical stability, high ionic conductivity and long cycle life. Table 3 shows a summary of ionic conductivities for several solid inorganic electrolytes. In particular, oxide materials, which present excellent stability in ambient conditions, are promising solid electrolytes for SSBs. In this section, we mainly focus on the chronological research progress of oxide electrolytes from their introduction to the field, in addition to two representative non-oxide solid-state electrolytes, anti-perovskites and sulfides.
The NASICON structure, standing for Na+ superionic conductors, was reported by Hangman et al. in 1968 [199]. It has a rhombohedral structure (space group R-3c) made of the framework of octahedra (MO6, M = divalent to pentavalent transition metal ions) and tetrahedra (XO4, X = P, Si, As). Two MO6 octahedra and three PO4 tetrahedra share oxygen atoms, which are assembled to form a 3D network structure. This structure provides a 3D interconnected conduction pathway for mobile ions, most commonly Na+ or Li+ [200]. The NASICON structure can have a wide range of compositional varieties, leading to varied ionic conductivities. The most promising NASICON-type Li+ conductors are LiTi2(PO4)3 and LiGe2(PO4)3 with Al substitutions. Arbi et al. [174] reported the synthesis of Li1+xAlxTi2−x(PO4)3 (LATP) and Li1+xAlxGe2−x(PO4)3 (LAGP) conductors (0 ≤ x ≤ 0.5) giving conductivities of 3.4 × 10−3 S/cm (LATP, x = 0.2) and 10−4 S/cm (LAGP, x = 0.2) at room temperature. By enhancing the crystallization of LAGP, Thokchom et al. [175] reported a conductivity of 4.22 × 10−3 S/cm at room temperature. Although its high ionic conductivity is attractive, the instability of LATP in contact with Li metal due to the reduction of Ti4+ requires an additional protective layer. Furthermore, expensive precursors to synthesize LAGP would require the substitution of Ge. As prototype cells, LAGP was used for a Li protection membrane for aqueous Li–air batteries [201,202].
By the 1980s, a considerable amount of work had been done on inorganic solid lithium superionic conductors, Li4±xSi1−xXxO4 (X = P, Al or Ge, LISICON) [203]. LISICON is based on the γ-Li3PO4 structure that is expected to diffuse Li+ through the vacancy mechanism in its pure state. The ionic conductivity of Li14ZnGe4O16 was limited to 10−7 S/cm at room temperature and had reactivity with Li anode or atmospheric CO2 [204]. Kuwano and West in 1980 reported much higher ionic conductivity for Li4GeO4–Li3VO4 systems with a total ionic conductivity of 4 × 10−5 S/cm at 18 °C with the addition of an interstitial diffusion mechanism [176]. The introduction of V5+ (e.g., Li3+xGexV1−xO4) contributed to stabilizing the structure in the presence of CO2 in air. It is also reported that the Li4SiO4–Li3PO4 solid solution presented the ionic conductivity 10−6 S/cm at room temperature and had better stability against Li due to the absence of transition metal ions [75]. While maintaining the chemical stability in Li4Si/GeO4–Li3PO4 solid solution, the ionic conductivity could be further improved to ~10−5 S/cm by substituting O with Cl, enlarging the four oxygen bottleneck size and lowering the diffusion barriers [177]. The most significant conductivity improvement of the LISICON structure was achieved with O replacement with larger and better polarizing ions, S, to form thio-LISICON. For example, the Li2S–GeS2–P2S5 system (Li3.25Ge0.25P0.75S4, called LGPS) reached a high ionic conductivity of 2.2 × 10−3 S/cm at room temperature [178]. However, the high sensitivity to moisture in air and difficulties in the synthesis of sulfide electrolytes remain as challenges.
In 1992, Bates et al. [179] reported the synthesis of lithium phosphorus oxynitride (LiPON, Li3.3PO3.9N0.17) by RF-magnetron sputtering of Li3PO4, which showed conductivity of 2 × 10−6 S/cm at 25 °C. Different from other electrolytes, LiPON has an amorphous structure, and its ionic conductivity is significantly affected by the amount of nitrogen [180,181,205]. Another route to improve the ionic conductivity of LiPON is to increase the Li concentration, as can be seen by the conductivity increase to 6.4 × 10−6 S/cm at 25 °C when LiPON was deposited with Li2O in addition to Li3PO4. Because of its easy deposition in thin films, LiPON can present a low resistance in the form of a thin film. Thus, LiPON is commonly used as the electrolyte for thin-film microbatteries (1–10 mAh) that can be used for smart cards, wearable devices, MEMS or implantable medical devices [205,206,207]. The deposition of LiPON and prototype battery performance will be discussed in detail later.
Among perovskite-type solid electrolyte materials, LixLa2/3−1/3xTiO3 (LLTO) exhibited very high bulk ionic conductivity. The LLTO is composed of the ideal structure cubic phase α-LLTO with Pm3 m symmetry and tetragonal phase β-LLTO with a P4/mmm space group. In 1993, Inaguma et al. [182] showed the improved ionic conductivity of Li0.34La0.51TiO2.94 compared to LISICON. The bulk and grain boundary activation energies of the cubic perovskite structure were reported to be Eb = 0.40 eV and Egb = 0.42 eV, respectively. Importantly, the bulk ionic conductivity was reported to be 1 × 10−3 S/cm at room temperature, but the total ionic conductivity was 2 × 10−5 S/cm due to the high grain boundary resistance. Alonso et al. [208] identified the position of Li+ in Li0.5La0.5TiO3 using the neutron powder diffraction and suggested the Li+ conduction pathway in LLTO. Jay et al. [209] proposed an additional diffusion pathway in the c-direction via a computational study that aligned more directly with experimental data. Lu et al. [183] synthesized Li2x−ySr1-x−yLayTiO3 to enhance the Li+ diffusion by increasing A site. With the composition of Li15/56Sr1/16La15/28TiO3 a total conductivity of 4.84 × 10−4 S/cm was achieved with an activation energy of just 0.29 eV.
Although its high bulk ionic conductivity is attractive, LLTO suffers from high grain boundary resistance and reactivity with Li metal. LLTO variants showed distinct discoloration when in contact with Li metal, and the Li intercalation at 1.7 V vs. Li/Li+ into LLTO was observed, limiting their use with low potential anode materials [210,211,212]. To resolve the instability issue of LLTO with Li, Ti4+ was substituted with Ge4+ [184] or Zr4+ and Ta5+ [185] extending the cathodic stability limit of perovskites to 0–1 V vs. Li/Li+.
Studies that substitute Ti4+ were able to stabilize the electrolyte but showed lower ionic conductivities [75]. Inada et al. [185] managed to achieve viable ionic conductivities by Zr-substitution with the composition of Li3/8Sr7/16 Ta3/4Zr1/4O3 (LSTZ). The newly formulated LSTZ produced a total conductivity value of 2.7 × 10−4 S/cm at 27 °C. The enhancement in conductivity relative to similar Ti-substitution studies can be attributed to the use of powder bed sintering. Powder bed sintering produced samples with fewer impurities, less Li+ loss during high-temperature reactions and denser structure with larger grains. One unfortunate attribute of this LSTZ material is that it requires a sintering temperature of 1300 °C, which is too high to be compatible with electrode materials in cases of co-sintering. In an effort to reduce the processing temperature, Yu et al. [213] formulated Li3/8Sr7/16Nb3/4Zr1/4O3 (LSNZ), which can be sintered at 1200 °C, but showed reduced total conductivity (2 × 10−5 S/cm at 30 °C). La doping to Sr sites could lead to a slight increase in the conductivity. For Li3/8Sr7/16−3x/2LaxZr1/4Nb3/4O3 (x = 0.05) the ionic conductivity reached to 3.33 × 10−5 S/cm at 30 °C. However, this value was still an order of magnitude lower than that of LLTO [183] and LSTZ [186]. Huang et al. [187] prepared Li3/8Sr7/16 Ta3/4Hf1/4O3 using a solid-state reaction, which exhibited a total conductivity of 3.8 × 10−4 S/cm at room temperature. Recently, the same authors prepared a sample with the same composition but used spark plasma sintering at 1250 °C for 10 min [188]. As opposed to conventional sintering methods, this sintering method improved the mechanical strength, enhanced the conductivity to 5.2 × 10−4 S/cm, and lowered the activation energy to 0.33 eV at room temperature.
Recently, oxides with garnet related structures have gained considerable attention as the potential solid-state electrolyte. The general chemical formula of garnet is A3B2(XO4)3 (A = Ca, Mg, Y, La or rare-earth; B = Al, Fe, Ga, Ge, Mn, Ni or V; X = Si, Ge, Al) where A, B and X are 8-, 6- and 4-fold coordinated cation sites, which forms a face-centered cubic structure with the space group Ia-3d. Li+ can diffuse through interconnected 3D pathways in the garnet structure [214]. Thangadurai et al. [190] reported a garnet-type electrolyte made with similar elements to LLTO but replacing Ti4+ to form Li5La3M2O12 (M = Nb, Ta). Its bulk conductivity was reported as 10−6 S/cm at 25 °C with activation energies of ENb = 0.56 and ETa = 0.43 eV. In 2007, garnet conductors with bismuth Li5La3Bi2O12 and Li6SrLa2Bi2O12 was reported to have comparable activation energies (0.4–0.5 eV) and total ion conductivity of 2.0 × 10−5 S/cm at room temperature [55]. In the same year, Murugan et al. [55] reported the synthesis of Li7La3Zr2O12 (LLZO) that has high ionic conductivity (10−4 S/cm at room temperature) as well as relatively good thermal and chemical stability compared to perovskite electrolytes. LLZO exhibits two crystallographic phases, a low conductive tetragonal phase and a high conductive cubic phase shown in Figure 6 [215,216]. Thus, it is essential to stabilize the cubic phase to maintain a high conductivity.
Allen et al. [191] reported that Ta substitution for Zn (Li6.75La3Zr1.75Ta0.25O12) could stabilize cubic LLZO, resulting in a conductivity of 8.7 × 10−4 S/cm at 25 °C. Li et al. [217] also reported 10−3 S/cm of conductivity at room temperature with the specific composition of x = 0.6 in Li7-xLa3Zr2-xTaxO12. Ga-substituted LLZO, Li6.55Ga0.15La3Zr2O12, also presented a similar conductivity 1.3 × 10−3 S/cm at 24 °C by introducing lithium vacancies through the substitution of Li by Ga [192]. These levels of ionic conductivities are close to that of the carbonate-based liquid electrolyte, making LLZO attractive enough to be used for SSBs. Although it was believed that garnets are stable in air previously, several studies indicated their sensitivity to moisture and CO2 in air [189,218,219,220,221]. Even after 24 h of exposure in air, Al-substituted LLZO (~150 μm grain size) showed one order magnitude increase of area-specific resistance due to the Li2CO3 formation on the surface [189]. When in contact with Li metal, Ta containing LLZO was stable with Li, whereas Nb containing LLZO was discolored to black [222]. With cathodes, the garnet structure was stable with LCO up to 900 °C but became unstable when in contact with Mn, Ni, (Fe, Mn) and (Co, Mn)-based cathodes [223,224].
The anti-perovskite (Li3OX, X = Cl, Br, I) has a general perovskite structure, but the positions of cations are filled with anions. In 2012, lithium-rich anti-perovskite (LiRAP) structures were reported by Zhao et al. by a molten salt synthesis method, showing a conductivity of 1.94 × 10−3 S/cm of conductivity with Li3OCl0.5Br0.5 at room temperature [193]. The higher conductivity of the mixed-halogen phase (Li3OCl0.5Br0.5) than Li3OCl or Li3OBr can be due to its topological property providing enough free space for Li+ to move compared to the anti-perovskites with solely Cl or Br. Furthermore, Li et al. [194] showed an extended electrochemical stability window up to 9 V vs. Li/Li+ with fluorine-doped anti-perovskites, Li2(OH)0.9F0.1Cl, that can be used in ultrahigh energy density storage systems. The high ionic conductivity, low cost, low melting temperature, and large electrochemical stability windows are the merits of anti-perovskites; however, their highly hygroscopic nature requires extreme care during sample fabrications and handling.
Minimizing the interaction between the mobile charge carriers and the anion framework is important to enhance the ionic conductivity of solid-state electrolytes. Sulfides generally offer higher ionic conductivities than oxides because the interaction between S2− and Li+ is weaker than that of O2− and Li+. Another merit of sulfide electrolytes is that the grain boundary resistance is lower than that of the oxide-based electrolytes. There are glass, glass–ceramic and crystalline sulfide electrolytes (thio-LISICON and argyrodites). Many remarkable ionic conductivities at room temperature were reported with sulfide electrolytes including Li2S–P2S5 glass–ceramic (1.7 × 10−2 S/cm) [52], Li10GeP2S12 (1.2 × 10−2 S/cm) [53] and Li9.54Si1.74P1.44S11.7Cl0.3 (2.5 × 10−2 S/cm) [27]. Argyrodites, named for the mineral Ag8GeS6, are the class of solids that have F-43 m or P213 structure. Deiseroth et al. [225] reported lithium argyrodites (Li6PS5X, X = Cl, Br, I) that have conductivities ranging 10−2–10−3 S/cm at room temperature. Crystalline Li6PS5Br samples prepared by mechanical milling followed by annealing showed the conductivity up to 6.8 × 10−3 S/cm at ambient temperature [195]. However, some concerns were raised with halogen atoms because they can potentially cause the corrosion of current collectors, in particular, Al. Schneider et al. [196] replaced the halogen atom with Si to form lithium argyrodite, Li22SiP2S18, that presented a conductivity of 3 × 10−3 S/cm. Recently, based on the fact that the ionic conductivity of argyrodite largely depends on the S2−/X mixing at 4d sites, Wang et al. synthesized Br rich argyrodite to enhance S2−/Br mixing and achieved a conductivity of 1.1 × 10−2 S/cm with Li5.3PS4.3Br1.7 at room temperature [197]. In this work, the S2−/Br mixing at 4d sites was confirmed with 31P NMR. Another type of halogen-rich lithium argyrodite, Li5.5PS4.5Cl1.5, made by a rapid thermal annealing process also presented ionic conductivity of 10−2 S/cm at 25 °C [198]. With such a high ionic conductivity, argyrodite-type electrolytes (Li6PS5Cl) were used as a solid electrolyte in a prototype pouch cell [226] of all solid-state lithium metal batteries—presenting higher than 900 Wh/L of energy density and long cycle life (1000 cycles). Despite their high ionic conductivity comparable to a liquid electrolyte, sulfide electrolytes showed severe performance degradation when they are exposed to air, requiring an inert environment for their handling and cell assembly.

3. Interfacial Phenomena between Solid-State Electrolytes and Electrodes

As described in previous sections, substantial effort has been devoted to developing high energy and power density electrodes, solid-state electrolytes with high ionic conductivity, good chemical stability and large electrochemical stability windows. However, the performance enhancement of batteries can be insignificant despite the dramatically enhanced performance of an individual component, i.e., electrodes or electrolytes. More importantly, the power density and cycle life of SSBs still have not met the requirements for practical applications. Such poor performances are mainly attributed to the large interfacial resistance between solid electrolytes and electrodes [227,228,229,230] that originates from the mechanical force development or chemical composition changes. These configurational and chemical changes driven by electrochemical reactions are summarized in Figure 7 [231,232]. We will discuss mechanical and chemical factors associated with the large interfacial resistance in the following section.
Mechanically driven interfacial resistance between solid electrolytes and electrodes originates from poor contact between two rigid materials and the volume changes of electrodes during the charge–discharge process [233]. This poor contact eventually leads to the formation and propagation of cracks [234] as well as the delamination of interfaces [235,236]. Sulfide-based electrolytes possess good mechanical ductility, thus can maintain good contact with electrodes without the degradation of the interfacial contact resistance [237]. In contrast, oxide-based electrolytes suffer from the poor adhesion of interfaces with electrodes as most ceramics are vulnerable to cracking due to the low ductility [238,239]. The insufficient mechanical contact results in the delamination or “dead” area induced by isolated electrode contact points from solid electrolytes. Due to the lack of conduction paths, neither electrons nor Li+ can be transferred across the dead areas, which in turn leads to the growth of interfacial resistance and capacity fading [240]. Furthermore, the large volume changes of electrode materials during repeated charge–discharge processes could also lead to the loss of effective contact between electrodes and solid electrolytes [241]. Zhang et al. [233] first demonstrated changes in the pressure and height of LCO/Li10GeP2S12/In and LCO/Li10GeP2S12/LTO under galvanostatic cycling where the volume expansions of LCO, LTO and In were found to be 2%, 0.2% and 105.6%, respectively. Due to the significant volume change of In, the LCO/Li10GeP2S12/In cell showed severe capacity fading. Similarly, Koerver et al. [235] detected the increased interfacial resistance and capacity fading caused by the contact loss at the NCM-811/β-Li3PS4 interface.
The occurrence of the interfacial resistance by the formation of interlayers is a well-known phenomenon in SSBs. One of the main reasons for the interfacial resistance is the formation of space charge regions (SCRs). SCRs originate from the depletion of lithium near the interface between the cathode and the electrolyte in SSBs due to the high potential gradient [242]. The potential difference at this interface causes Li+ to move toward a higher potential region, causing lithium depletion and increasing the ionic resistance at the interface. Sulfide-based electrolytes exhibit a weaker interaction with Li+ and lower chemical potential of Li+ compared with those of oxide cathodes, such as LCO. Thus, the Li+ in sulfide-based electrolytes will migrate into the oxide cathode easily, resulting in the redistribution of Li+ at the interface which forms lithium depletion layers, SCRs [243]. Unlike sulfide-based electrolytes, the influence of SCRs is smaller in oxide-based electrolytes because the chemical potential of Li+ in oxide electrolytes is comparable with that in the cathode [244]. At the interface between LCO and Li1+x+yAlyTi2−ySixP3−xO12, the thickness of SCRs in the sulfide-based electrolyte was thicker than 1 μm determined by measuring the electric potential profile with transmission electron microscopy (TEM) [245]. A similar SCR thickness was also reported in LiCoPO4/Li1+xAlxTi2−x(PO4)3 using Kelvin probe force microscopy (KPFM) [246]. On the contrary, the thickness estimated from the resistance (~10 Ω cm2) at the LiPON/LCO interface was found to be in the range of nanometers [247]. De Klerk et al. [248] also estimated the nanometer-thick SCRs based on the interfacial resistance (17 Ω cm2) between the solid electrolytes, i.e., garnet and NASICON (LLZO and Li1.2Al0.2Ti1.8(PO4)3) and the cathode (LCO) or anode (graphite).
Interfacial chemical reactions derived from the interdiffusion between electrodes and solid electrolytes can also contribute to high interfacial resistance [249]. These interfacial reactions can form an interphase layer known as SEI at the electrode/electrolyte interface by consuming Li+ and electrons from electrodes. The electrical properties of the SEI layer play a role in determining how the reaction between electrolytes and electrodes continues [250,251,252]. This SEI layer continues to grow until it blocks the Li+ transport over the electrolyte/electrode. Park et al. [253] showed that an approximately 50-nm-thick layer forms in the vicinity of the LCO/Garnet interface due to the mutual diffusion of Co, La and Zr which leads to capacity fading. In addition, Wenzel et al. [254] also revealed that Li3P, Li2S and Li–Ge alloys form a SEI layer upon the reaction of Li10GeP2S12 solid electrolyte with Li metal by in situ X-ray photoemission spectroscopy.
As a general strategy to resolve the aforementioned issue at the electrode/electrolyte interface, nanometer-thick interfacial buffer layers have been grown to enhance the performance of the SSBs [255,256]. Consequently, it is critical to employ thin-film growth techniques that can provide high purity and desired crystallinity of target materials in SSBs’ assembly processes. Furthermore, the growth of thin films is a key success factor in building SSTFBs that have dramatically reduced charge-transfer resistance throughout the device. Therefore, understanding the precise control of thin-film growth and determining the impact of thin films on battery performances are requisite. In the following sections, we first review the most widely used thin-film deposition techniques. Then, we focus on the representative thin-film materials applied to buffer layers, evaluating the influence of deposition methods on the properties of thin films and the subsequent SSB performances.

3.1. Deposition Techniques

Technical advances in synthesizing thin films have facilitated the development of SSBs. In general, there are two main types of thin-film deposition methods: (i) physical deposition methods such as thermal evaporation, pulsed laser deposition and sputtering; (ii) chemical deposition methods such as chemical vapor deposition, atomic layer deposition and sol–gel deposition.

3.1.1. Physical Deposition Methods

One of the most common physical deposition techniques is thermal evaporation owing to its simplicity. This technique is utilized in multiple applications such as memory-switching applications [257,258] and solar cells [259]. In particular, this method is commonly applied to the fabrication of anode materials for LIBs [260,261]. In a vacuum chamber, a solid material is heated to a temperature that produces a vapor pressure sufficient to raise a vapor cloud inside the vacuum chamber [262]. Then, these evaporated particles are free to traverse the chamber and stick to a substrate as a film. The deposition materials can be pure atomic elements including metals, oxides and nitrides. The thickness, uniformity and adhesion strength of the film can be adjusted by controlling a number of deposition parameters such as voltage, pressure and substrate temperature. Two main heating sources—resistive filament and electron beam (e-beam) are widely used for heating the source material. Depending on the temperature required for evaporating the material, resistive filament heating is generally used for the materials with the evaporation temperature below 1500 °C, in contrast to the e-beam heating for the materials with the evaporation temperature above 1500 °C [262].
Pulsed laser deposition (PLD) which is a widely used method for growing epitaxial thin films consists of a target holder and a substrate holder housed in a vacuum chamber (Figure 8). A high-power laser is used as an external energy source to vaporize materials and to deposit thin films. A set of optical components are used to focus and raster the laser beam over the target surface. The decoupling of the vacuum hardware and the evaporation power source makes this technique so flexible that it is easily adaptable to different operational modes without the constraints imposed by the use of internally powered evaporation sources. In PLD, a pulsed-laser beam rapidly ablates oxide from a solid ceramic disc target to form an energetic plasma plume, which then propagates and condenses onto a substrate that is held at a particular temperature. Generally speaking, the target determines the composition of the thin film, but the codeposition of different targets is possible to create a new composition of the film [263]. In addition, a single target composition can be used to create films of different stoichiometry due to the coupling between target composition, PLD chamber pressure, laser fluence, substrate temperature and the resultant film composition. Compared to other deposition techniques, PLD can provide unique advantages—stoichiometric transfer of materials, capability for reactive deposition in ambient gases, growth of two-dimensional nanostructures, growth of multilayered epitaxial heterostructures, high instantaneous growth rate and uniform thickness films. Furthermore, it represents a clean, simple and inexpensive process.
Sputter deposition is a conventional physical vapor deposition (PVD) technique that uses accelerated inert gas ions to transfer matter from a solid target onto a substrate surface (Figure 9). Sputter deposition usually utilizes a vacuum chamber, in which there sits a target, a substrate and two electrodes. The vacuum chamber is filled with low-pressure inert gas (mostly Ar), which can be used to ignite the plasma. The plasma is a combination of ions, neutrals and electrons. During the sputtering process, an electric field is introduced between the substrate and the target by applying a high negative voltage on the target connected with the cathode while the substrate connected with the anode is applied with a positive voltage or grounded. The inert gas atoms will then start to depart and emit ions and electrons in a small amount. Those electrons will be accelerated from the cathode to the anode. In the case that the electrons hit the atoms and the electron energies are high enough to break the atomic bonds, the ionized gas atoms will emit electrons in the plasma. Once the plasma is formed, the charged particles will move in different directions, negatively charged particles will move towards the anode, and the positively charged particles will move towards the cathode [264,265]. When the ions attracted by the cathode have high enough energy to knock away the atoms on the target surface, the sputter deposition process will occur. There are several types of sputtering systems including direct current (DC) sputtering, radio frequency (RF) sputtering and magnetron sputtering. The DC and RF sputtering systems are used for sputtering conductive materials and non-conductive materials, respectively [266]. As the magnetron sputtering system can increase the sputtering rate, combined sputtering systems, i.e., DC magnetron and RF magnetron are widely used for the fabrication of thin films.

3.1.2. Chemical Deposition Methods

Sol–gel deposition which is a wet chemical method was originally used for the synthesis of metal oxide nanoparticles [267]. The conventional sol–gel process undergoes three stages, namely the hydrolysis, the condensation and the drying stage. In the hydrolysis stage, a metal precursor undergoes a chemical reaction, forming a hydroxide colloidal suspension (sol). In the condensation stage, centrifugation or normal sedimentation can be used to form a gelatinous network (gel). In the drying stage, the remaining solvent in the “gel” network is removed by a thermal treatment. For thin-film deposition, the “sol” matter was deposited onto the substrate via dip-coating/spin coating process, followed by the condensation at room temperature than a firing process [268]. Owing to its simplicity, flexibility and low deposition temperature, the sol–gel process has gained much attention with applications in different fields, including piezoelectric devices [269], superconductors [270] and synthesis of nanoparticles [271].
Chemical vapor deposition (CVD) is a chemical deposition technique that utilizes a chemical reaction of vapor phase precursors (Figure 10) [272]. In the CVD process, the chemical reactions of precursors occur both in the gas phase and on the substrate. A precursor is controlled by balancing flow regulators and control valves. Precursor molecules are drawn into the boundary layer and then deposited on the surface of the substrate. The deposition process occurs in three successive stages: (i) introduction of the volatile precursor by carrier gas to the reactor chamber; (ii) adsorption of precursor vapors on the substrate surface and the formation of intermediate products; (iii) decomposition of these products on the heated substrate followed by nucleation and growth of the solid layer/grains and the formation of volatile byproducts and their removal from the chamber by the carrier gas [273]. CVD can be applied for obtaining diverse thin-film materials such as metals, semiconductor III-V compounds, dielectric oxides, perovskite heterostructures, magnetics and conductors [274].
Atomic layer deposition (ALD) is a surface controlled thin-film deposition technique based on the sequential pulsing of the vapors of precursor chemicals in which one atomic layer is formed for each pulse. Generally, two precursors (A and B) are used in the ALD process [275]. Each of the precursors makes up half of the reactions that yields the desired materials. First, precursor A is pumped into the chamber for their own half-reaction for a certain amount of time to fully cover the substrate surface with a monolayer of precursor A. It is worth mentioning that the precursor A does not stack on top of deposited A molecules due to the surface termination, which makes the single monolayer coverage possible. Then, the unreacted precursor A is purged out of the chamber via inert gas. Lastly, the precursor B is pumped into the chamber, forming a layer of the desired material, followed by another purging to remove the byproduct from the reaction between precursor A and B. This deposition cycle of precursor A and B continues until the film reaches the desired thickness [275]. Therefore, precise thickness control can be enabled by controlling the number of reaction cycles. It is also possible to initiate the growth of materials by ALD on the specific position of the substrate, such as defect sites that can have a significant impact on the device performance improvement. In addition, the conformality of thin films is also a unique feature of ALD, which leads to the formation of thin films with high aspect ratios and three-dimensional substrates.

3.2. Buffer Layers

In principle, either the electrode or the electrolyte can be coated with a buffer layer to stabilize the electrode/electrolyte interface. Oxide materials are known as good candidates to be used as a buffer layer at the electrode/electrolyte interface in SSBs [276]. The materials choices for buffer layers include, Al2O3 [277], ZrO2 [249,278,279], ZnO [88], LiNbO3 [27,280], Li2CO3 [281,282], Li3BO3 [238], Li3PO4 [283,284,285], LiAlO2 [286], Li2SiO3 [287], LTO [288] and Li2MoO4 [289]. The most commonly used deposition techniques for coating buffer layers are sputtering, ALD and PLD. As several reviews [290,291,292] about the effects of the buffer layers on stabilizing the electrode/electrolyte interface can be found, this study will focus on recent progress of buffer layers introduced in SSBs. The buffer layers introduced at the electrolyte/electrode interface will also be discussed in terms of two aspects: the electrolyte/cathode interface and the electrolyte/anode interface.

3.2.1. Buffer Layers at the Electrolyte/Cathode Interface

Most solid electrolytes react with cathode materials and therefore they need to be protected by buffer layers in SSBs. The buffer layer at the solid electrolyte/cathode interface should provide a good ionic conduction path and also reduce the strain/stress. An ideal buffer layer at the electrolyte/cathode interface is required to have the following properties [293,294]; (i) excellent Li+ mobility, (ii) low interfacial stress variation by forming a conformal contact between the electrolyte and the cathode, (iii) a wide electrochemical window that can span the cathode’s operating voltage and the electrochemical window of the electrolyte and (iv) low chemical reactivity with the electrolyte and the cathode. One of the most commonly used materials as a buffer layer is Li3BO3 [295] which is a Li+ conductor (2 × 10−6 S/cm at room temperature) with a low melting temperature (700 °C) that easily forms a conformal coating between the electrolyte and the electrode by annealing. Ohta et al. [238] employed Li3BO3 as a buffer layer on LCO to overcome the poor contact between the electrolyte and the electrode. The authors claimed that the Li3BO3 buffer layer could act as a bonding material. During the annealing process of Li3BO3-coated Nb-doped LLZO (LLZO–Nb), Li3BO3 melts and forms a continuous contact that enhances the adhesion between the solid electrolyte and the cathode. Li3BO3 was also used as a buffer layer by Park et al. [253] to provide sufficient interfacial contact between LCO and garnet solid electrolyte. Another method to decrease the interfacial resistance is to modification the cathode to form Li+ conductors on the surface. Liu et al. [296] coated a Li–Ti–O precursor on the surface of NMC-523, which in turn formed a Li(Ti0.1Mn0.9)2O4 layer by sintering. In this work, Li(Ti0.1Mn0.9)2O4 buffer layer improved the interfacial contact between the cathode and Li6.75La3Zr1.75Ta0.25O12 (LLZO–Ta) solid electrolyte, showing the reduced interfacial resistance from 12.5 kΩ to 9.5 kΩ. Improved contact led to an enhanced initial specific discharge capacity to 123.3 mAh/g and increased capacity retention to 62% after 5 cycles. The authors also suggested that sputtering electrode or electrolyte materials as a buffer layer to form a cohesive interface are effective to enhance the contact of the interface. Lee et al. [297] prepared a buffer layer of common solid electrolyte material Li5La3Ta2O12 between LCO and Li5La3Ta2O12 by RF magnetron sputtering. According to this work, the Li5La3Ta2O12 buffer layers reduced the contact resistance between LCO and Li5La3Ta2O12 and increased the ion path between the cathode and the electrolyte. Bai et al. [298] designed a LCO buffer layer on a Li7(Al0.1)La3Zr2O12 (LLZO–Al) electrolyte. The authors demonstrated that the LCO buffer layer possesses a large interfacial specific surface area and an excellent interfacial stability which reduces the interfacial resistance of NMC-523/LLZO–Al by 1279 Ω compared to the unmodified interface.
To suppress the formation of SCRs in SSBs with sulfide-based electrolytes, Ohta et al. [256] introduced a pure ionic conducting LTO buffer layer between electrolytes and electrodes. The authors claimed that the SCRs were less developed because of the similar chemical potential of LTO buffer layer and LCO and the poor electronic conduction between the Li3.25Ge0.25P0.75S4 and LTO buffer layer. In order to suppress the SCRs and have good ionic conductivity at the interface, LiNbO3 (10−5–10−6 S/cm) [299] was also commonly used as a buffer layer for sulfide electrolytes. Using ab-initio calculation, Haruyama et al. [300] utilized a buffer layer of LiNbO3 between LCO and β-Li3PS4 (LPS) demonstrating the electronic properties of the interfacial regions. The authors predicted that the introduction of LiNbO3 layers could relieve the structural disorder at the interface, balance the lithium distribution and suppress the growth of SCRs to boost Li+ conduction through the interface. Experimental data by other groups confirmed that the LiNbO3 buffer layer between the electrolyte and the cathode could weaken the space–charge effect. Takada et al. [301] showed that the LiNbO3 buffer layer with a thickness of 20 nm on LMO could reduce the interfacial resistance from 10,000 Ω to 200 Ω by suppressing the SCRs formed at the high-voltage cathode/sulfide electrolyte interface. Recently, Vinado et al. [302] studied the interfacial behavior of Li3NbO4-coated LCO with Li10SnP2S12 (LSPS) solid electrolyte. According to the experimental results in this study, the SSBs with Li3NbO4-coated LCO cathode was found to maintain its low interfacial resistance (210 Ω cm) after 10 cycles in contrast to the uncoated LCO SSBs showing the increased interfacial resistance (680 Ω cm).
To reduce the interfacial reaction and prevent the formation of the SEI layer in SSBs, Takahashi et al. [303] investigated the effect of Li3PO4, LiNbO3 and Al2O3 as buffer layer between LCO and LiBH4 solid electrolyte on the interfacial properties. Li3PO4 and LiNbO3 were selected due to their relatively high chemical and thermal stabilities and high ionic conductivity but low electron conductivity. Al2O3, however, is a poor ionic conductor relative to these two materials. The authors found that all the buffer layers can reduce the interfacial resistance with Li3PO4 giving the lowest interfacial resistance (21 Ω). Similarly, a nanoscale Al2O3 buffer layer deposited on LCO by PLD also enhanced the cyclic performance of SSBs by reducing the SEI layer formation [304]. Using PLD, Chen et al. [305] introduced the Li3PO4 buffer layer at the interface between LCO and 80Li2S·20P2S5 solid electrolyte reporting the improved cyclability and decreased interfacial resistance. The authors claimed that these enhancements could be attributed to suppressing the degradation of the sulfide electrolyte during cell cycling. According to the experimental results, SSBs with Li3PO4 buffer layer showed higher discharge capacities (172 mAh/g) than those without the buffer layer (155 mAh/g). Recently, Kim et al. [306] reported a dramatically reduced interfacial resistance (~10 times lower than pristine cell without the buffer layer) with a 5-nm-thick LiNbO3 buffer layer on LCO leading to a discharge capacity of 109 mAh/g. To effectively enhance the interfacial stability and electrochemical performances, Li et al. [307] constructed a novel NMC@LCO@LiNbO3 cell by using a sol–gel method. According to their fabrication method, NMC-811 was first coated with LCO to form core-shell NMC@LCO with a Ni-deficient surface. The authors claimed that the LCO layer provides interfacial stability with Li10GeP2S12 sulfide electrolyte while maintaining the high specific capacity from the NMC core. Then, in view of their previous work [308], the surface of NMC@LCO was coated with a LiNbO3 buffer layer to optimize the interface further. According to the experimental results, the NMC@LCO@LiNbO3 cathode showed high initial discharge capacity, outstanding rate and especially improved cycle stability (capacity retention of 80% after 585 cycles). The summarized interfacial resistances of the SSBs with buffer layers on cathode/electrolyte are listed in Table 4.

3.2.2. Buffer Layers at Solid-State Electrolyte/Anode

As discussed earlier, Li metal is an ideal anode for SSBs owing to its high theoretical specific capacity, lowest negative electrochemical potential and low density. However, the high chemical reactivity between solid electrolytes and Li metals results in the formation of uncontrolled dendrites which leads to large volume change during cycling [86]. The lithium dendrite growth is known to cause the internal short circuits and the deterioration of SSBs. Han et al. [309] visualized the lithium dendrite growth in LLZO and Li3PS4 solid electrolytes by time-resolved operando neutron depth profiling, revealing that lithium dendrites nucleate and grow directly inside LLZO and Li3PS4. Wu et al. [310] showed that lithium dendrites can extend along the cracks and boundaries of solid electrolytes such as LLZO and can also lead to capacity fading and short-circuiting. To overcome these issues, an ideal buffer layer material on the electrolyte/anode is indispensable. The buffer layers should exhibit the following properties: (i) enhance the wettability between the lithium metal/electrolyte to stabilize the interface and (ii) suppress the growth of lithium dendrite.
Han et al. [255] effectively addressed the large interfacial resistance due to poor wettability between the lithium metal and the garnet Li7La2.75Ca0.25Zr1.75Nb0.25O12 electrolyte by using ALD deposited Al2O3 buffer layers which can facilitate the molten Li metal coating of the garnet surface with no interfacial void space. The authors argued that the Al2O3 buffer layer enhanced the wettability between lithium metal and the garnet electrolyte, which allowed effective Li+ transport through the interface. According to the experimental results, a significant decrease of interfacial resistance from 1710 Ω cm2 down to 1 Ω cm2 was observed at room temperature due to the Al2O3 buffer layer. Later, interfacial layers of ZnO proposed by the same group [88] increased the wettability and reduced the interfacial resistance between garnet electrolytes and the Li metal anode. Liu et al. [311] also demonstrated that Al2O3 buffer layer on LATP (Li1.3Al0.3Ti1.7(PO4)3) solid electrolyte could increase the wettability with the Li metal anode. The authors explained that the Al2O3 buffer layer acts as the lithium transport pathway at the LATP/Li metal interface which largely reduces the interfacial resistance. Recently, Alexander et al. [312] proposed an effective buffer layer of LiNbO3 on LLZO–Al (Li6.28Al0.24La3Zr2O12) solid electrolyte, showing the drastically reduced interface resistance from 1078 Ω cm2 to 91 Ω cm2. Furthermore, the authors confirmed that the buffer layer could assist Li+ conduction at the interface and provide good adhesion based on the stable voltage profile of LiNbO3-coated LLZO–Al/Li metal SSBs.
Considerable progress was achieved to prevent lithium dendrite formation by introducing a buffer layer at the interface of solid electrolyte and Li metal anode. A porous-dense bilayer of LLZO garnet solid electrolyte as a 3D ionic framework for Li metal anode was developed by Liu et al. [313] where the framework consists of one porous layer as a volume-stable host of Li metal with a large contact area and one dense buffer layer as a solid-state separator preventing short-circuits. In this work, the cell was found to completely suppress dendrite formation due to the LLZO buffer layer after 150 h of cycling. Lou et al. [314] modified the surface of the LLZO–Ta (Li6.4La3Zr1.4Ta0.6O12) solid electrolyte with an indium tin oxide (ITO) buffer layer. According to the authors, the lithiated ITO buffer layer ensures tight contact between the Li metal and LLZO–Ta which leads to a uniform local current distribution of the interface, preventing lithium dendrite formation. The experimental results revealed that the ITO buffer layer reduced the interfacial resistance of Li/ITO–LLZO-Ta from 1192 to 32 Ω cm2. The summarized interfacial resistance of the SSBs with buffer layers on anode/electrolyte are listed in Table 5.

4. Electrodes and Electrolytes for SSTFBs

To meet the increasing demand for portable (micro-)electronic applications in today’s information-rich and mobile society, developing rechargeable battery systems with high energy density and reduced dimensions is crucial. For such battery systems, SSTFBs are one of the most attractive battery systems owing to their shape, versatility, flexibility and lightness [315,316,317]. Since being first introduced in 1983 [318], SSTFBs have been continuously studied over the past four decades [317,319,320,321,322,323,324]. In recent years, considerable progress has been made in the development of SSTFBs along with advances in thin-film technologies [325,326]. SSTFBs provide unique advantages such as outstanding cycle life and safety compared to conventional LIBs [327,328]. Moreover, SSTFBs enable the miniaturization of LIBs [317,323,324] required for applications, including implantable medical devices, wireless microsensors, microelectromechanical system devices and flexible electronics [318,329,330].
SSTFBs are composed of multiple micron-sized electrochemical cells consisting of a cathode and an anode electrode separated by an electrolyte. A thin-film electrochemical cell is generally fabricated on a solid substrate like glass, ceramic or even polymer. The first layer is usually a current collector, then followed by the electrode, electrolyte, electrode and another layer of a current collector [317]. Generally, the thickness of thin films in SSTFBs is in the range of nanometers to microns. Such thin layers can significantly enhance the charge transfer kinetics [59,331] which prevents the local overcharging and discharging issue reported in conventional battery systems [317,331]. In addition, SSTFBs use dense thin films without a polymeric binder and thus can be used as an ideal system for the fundamental understanding of energy storage mechanisms. Furthermore, SSTFBs have higher volumetric and gravimetric power density (Figure 11) compared to other battery systems [332]. The use of thin-film electrochemical cells is therefore a promising and practical strategy to fully utilize the advantage of lithium-based batteries for diverse applications.

4.1. Thin-film Electrodes

The materials for the electrodes in SSTFBs play a crucial role in determining the overall performance of the battery. Thus, discovering new electrode materials and improving conventional electrode materials by structural engineering are critical to develop high-performance SSTFBs. To date, significant performance improvements in electrode materials were accomplished with the development of materials in thin-film form. For example, thin-film electrodes are capable of accommodating the strain induced by lithium insertion and removal, improving the cycle life. Thin-film electrodes also provide the increased contact area between the electrode and the electrolyte, resulting in high charge and discharge rates. However, the cathode materials still need to be further developed due to the lower theoretical capacity compared to the anode materials.

4.1.1. Anodes

Amorphous Li-metal thin films were previously deposited by thermal evaporation to serve as the anode for Li–V2O5 cells and Li–LMO cells [336]. According to this work, the Li–V2O5 cell was found to show a discharge capacity of 118 and 86 μAh/cm2 μm at room temperature with a current density of 2 and 40 μA/cm2, respectively. Compared to the Li–V2O5, the Li–LMO cell showed a discharge capacity of 60 and 26 μAh/cm2 μm at room temperature with a current density of 2 and 40 μA/cm2, respectively.
A couple of studies have shown the growth of amorphous silicon (α-Si) thin films via PLD and sputtering [337,338,339,340]. Ohara et al. [339] reported the growth of amorphous Si thin films on Ni foils with a capacity of 3000 mAh/g at a 12 C charge rate with 1000 cycles. Park et al. [338] also grew an amorphous thin-film Si on stainless steel with PLD. The authors achieved a 96.7 μAh/cm2 first discharge capacity and a 52.6 μAh/cm2 first charge capacity. PLD-deposited amorphous Si thin films on stainless steel were also found to yield ~20 μAh/cm2 of capacity between 1 and 4 V up to 20 cycles by Xia et al. [337].
Multiple attempts have shown that graphene thin films can be deposited via CVD [341,342,343], sputtering [344] and PLD [345]. Reddy et al. [342] prepared N-doped graphene thin films for the anode via CVD. According to the authors, the films exhibited a reversible discharge capacity of 0.05 mAh/cm2 under the current density of 5 μA/cm2 after 50 cycles. Saulnier et al. [341] fabricated a multilayered graphene (MLG) anode via CVD showing a discharge capacity of 250 mAh/g under the rate of 5 C.
LTO thin films have been grown via PLD [346,347,348,349], sputtering [350,351], sol–gel [352,353] and CVD processes [354]. Deng et al. [348] reported the growth of the (111)-oriented LTO thin films on Pt/Ti/SiO2/Si substrates via PLD, showing a discharge capacity of 157 mAh/g after 10 cycles with a constant current density of 10 μA/cm2. Cunha et al. [349] also used PLD to grow (001)-, (110)- and (111)-oriented epitaxial LTO thin films on (001), (110) and (111) SrTiO3 (STO) substrates, respectively. The LTO films showed pyramidal, rooftop and flat surface morphology with <111> crystal facets, respectively. According to the authors, all three epitaxial thin films were found to exhibit high discharge capacities ranging from 280 to 310 mAh/g, which exceeds the theoretical value of 175 mAh/g. Among these three films with different crystallography orientations, the (100)-oriented films were found to show the highest discharge capacity due to its highest surface area. Using ion beam sputtering, Wunde et al. [350] reported the growth of the (111)-oriented LTO thin films, which showed a capacity ranging from ~18 to 26 μAh/cm2 μm with different current density. The averaged diffusion coefficient for Li+ was also reported as 3.27 × 10−16 m2/s. Thin films fabricated by sol–gel and CVD methods were polycrystalline with the (111) preferred orientation [353,354]. The CVD thin films were found to have a better discharge capacity (~110 mAh/g) than the sol–gel thin films (~60 μAh/cm2 μm) under the current density of 10 μA/cm2. This result indicates that the performance of SSTFBs may also depend on the quality of thin films determined by the deposition technique.
LNVO thin films with a reverse spinel structure were deposited via PLD [355,356,357] and RF sputtering [358]. Tang et al. [355] grew amorphous LNVO thin films via PLD on stainless steel substrates. According to the authors, the thin-film electrodes showed a 410 μAh/cm2 μm after 50 cycles. Lee et al. [359] evaluated the effect of sputtering target compositions on battery performance. Using RF sputtering, the authors grew amorphous LNVO thin films with two different target compositions, namely LNVO and L1.1NiVO4 (L1.1NVO), representing that the thin-film electrode deposited with the L1.1NVO target showed the higher reversible capacity of 871 μAh/cm2 μm compared with the reversible capacity of 787 μAh/cm2 μm for the thin films deposited with the LNVO target. The post-annealing process may also influence the battery performance. Reddy et al. [358,360] tested how the post-annealing temperature affects the discharge capacity of LNVO thin films sputtered on stainless steel. The authors applied four different post-annealing temperatures to the LNVO thin films, demonstrating that the as-deposited film and the film post-annealed at 300 °C were amorphous while post-annealed samples at higher temperatures such as 450, 600 and 700 °C showed a polycrystalline structure. According to the discharge capacity measurements, the discharge capacity of the as-deposited film was found to be ~800 mAh/g and increase with the post-annealing at 300 °C up to ~1100 mAh/g. However, further increases in the post-annealing temperature resulted in a decrease in the discharge capacity of the films. For example, the film post-annealed at 600 °C showed a decreased discharge capacity of ~850 mAh/g.
TNO thin films can be deposited via PLD [361,362,363] and sol–gel method [364]. Using PLD, Daramalla et al. [361] deposited polycrystalline TNO thin films, which showed a discharge capacity of 176 and 143 μAh/cm2 μm at 35 and 50 μA/cm2 current density, respectively. Chang et al. [364] deposited TNO on ITO and Si substrates via sol–gel method. According to this work, the XRD result showed that TNO thin films on ITO substrates stay amorphous even after the post-annealing treatment at 500 °C. On the contrary, the TNO thin films on Si substrates started to crystalize after the post-annealing above 600 °C and the TNO films post annealed at 900 °C were found to have the best crystallinity and a (110) preferred orientation.
Table 6 summarizes the discharge capacities of different thin-film anodes. Among LTO, LNVO and TNO, the LNVO thin-film anode showed a better performance with a discharge capacity of 800–1000 mAh/g for both polycrystalline and amorphous thin films.

4.1.2. Cathodes

LCO is one of the most widely studied cathode materials as discussed in the bulk electrode section [366]. Many efforts have been devoted to the usage of the LCO thin-film cathode fabricated by PLD [367,368,369,370,371,372,373], RF sputtering [366,374,375,376], ALD [377,378] and CVD [379,380]. In contrast to the bulk materials, the physical and chemical properties of thin films can be controlled by the deposition conditions, which is beneficial for enhancing the performance of SSTFBs. Nishio et al. [373] demonstrated the growth of the (104)-oriented epitaxial LCO thin films on (100) STO substrates by PLD achieving a discharge capacity of 90 mAh/g at a low discharge rate of 0.01 C and a 23 mAh/g discharge capacity at a high discharge rate of 100 C. Compared to the previous work about polycrystalline thin films by Nishio et al. [381], epitaxial thin films showed a higher discharge capacity at 0.01 C (75 mAh/g for polycrystalline LCO thin film) and slightly lower discharge capacity at 100 C (26 mAh/g for polycrystalline LCO thin film). Polycrystalline thin films with (001) and (104) preferred orientations were also grown by Xie et al. [374] in which the (104)-oriented thin films were found to have the best discharge capacity of ~120 mAh/g, higher than the (001)-oriented thin films with a discharge capacity of ~110 mAh/g. Zhu et al. [375] demonstrated that the discharge capacity of the (001)-oriented LCO thin films depends on the deposition temperature. According to this work, the discharge capacity varied from 24.7 μAh/cm2 μm for the thin film deposited at room temperature to 60.5 μAh/cm2 μm for the thin film deposited at 500 °C. The authors also found that the performance of the film deposited at 500 °C compromised by crack formation during the deposition at high temperature was dropped from 60.5 μAh/cm2 μm to 23.1 μAh/cm2 μm after 50 cycles. Similarly, Donders et al. [377] used ALD to deposit LCO thin films on Si substrates. Interestingly, the authors observed that a post-annealing process at 700 °C could change the orientation of the LCO thin films, leading to a discharge capacity ranging from ~10 to 25 μAh/cm2 μm with different Li stoichiometry. Overall, polycrystalline LCO films with preferred orientations showed a better performance than epitaxial LCO thin films.
Being a promising cathode material for SSTFBs, LMO thin films have been studied with different deposition techniques, including PLD [382,383,384,385], RF sputtering [386,387,388], sol–gel [389,390] and CVD [391]. Hendriks et al. [384] reported the growth of epitaxial LMO thin films with (100), (110) and (111) orientations with pyramidal, rooftop and flat surface morphology, respectively. According to this work, (100) oriented films were found to have the best discharge capacity and cycle life. Sonoyama et al. [383] grew (111)-oriented LMO thin films on both STO and alumina substrates showing that the discharge capacity of the LMO films on STO was smaller than that of the LMO films on Al2O3. Most films grown by RF sputtering, sol–gel, and CVD showed polycrystalline structures [386,387,388,389,390,391]. The discharge capacity ranged from 43 to 80 mAh/g, depending on the deposition method and the crystallinity of the films.
NMC thin films were mainly deposited via PLD and RF sputtering [392,393,394,395,396]. Prathibha et al. [394] grew polycrystalline Li(Ni0.5Co0.25Mn0.25)O2 thin films with mainly (001) and (104) orientations on Au-coated Si substrates via RF sputtering, obtaining a good discharge capacity of 57.5 μAh/cm2 μm. Tan et al. [392] reported the orientation control of NMC sputtered thin films with different post-annealing temperatures ranging from 400 °C to 700 °C. In this work, the dominant orientation of the films changed from (104) to a mixture of (110) and (104). The authors demonstrated that the thin film annealed at 700 °C showed the best performance with the highest percentage of (110) oriented grain. Highly (001)-oriented NMC thin films were also synthesized on Au-buffered stainless steel substrates at 750 °C by Jacob et al. [393] in which the films showed a discharge capacity of 187 mAh/g.
Table 7 summarizes the discharge capacities of different thin-film cathodes. Among LCO, NMC and LMO, the NMC cathode showed the best performance (~120 mAh/g) at a discharge rate of 0.1 C. In terms of the crystalline structure effect, epitaxial thin films showed a superior performance relative to polycrystalline and amorphous thin films.

4.2. Thin-film Electrolytes

As discussed earlier, the use of solid electrolytes can resolve the safety issues caused by liquid electrolytes in conventional LIBs. Furthermore, the use of thin-film solid electrolytes can provide the low ionic resistance by controlling material orientations, crystallinity and strain to build high power lithium-based batteries. Here, we mainly focus on progress in research on four thin-film solid electrolytes: NASICON, LiPON, perovskite and garnet electrolytes.
LAGP and LATP are two of the most commonly used NASICON-type solid electrolytes in LIBs, due to their high ionic conductivities. Using various deposition techniques including RF sputtering [397,398,399], sol–gel [400] and aerosol deposition [401], LAGP and LATP thin films were implemented into SSTFBs. However, thin-film electrolytes fabricated by sol–gel cannot be fully densified due to the solution-based sol–gel method. Therefore, ionic conductivity for the pores in sol–gel-synthesized thin films also needs to be taken into account. Sun et al. [397] deposited LAGP thin films using RF sputtering with different deposition temperatures ranging from 50 to 600 °C, demonstrating an increase in ionic conductivity with increasing deposition temperatures up to 200 °C. In this study, the conductivity of the film deposited at 200 °C was found to be 1.29 × 10−6 S/cm at room temperature. Later, using a sol–gel method they also synthesized polycrystalline LAGP thin films. In particular, the sample sintered at 900 °C for 8 h showed the highest bulk (material itself) and total (material + pores) conductivity of 7.76 × 10−4 and 4.18 × 10−4 S/cm, respectively, at room temperature. Further increases in the sintering temperature and time led to the deterioration of the conductivity of films due to the formation of an impurity phase and the increase of the interspace within grains [400]. Polycrystalline LAGP thin films were also synthesized via aerosol method without the post-annealing treatment and showed much lower total conductivity (5 × 10−6–2 × 10−7 S/cm) relative to the samples with the post-annealing treatment [401]. Using RF-sputtering, Ling et al. [398] and Chen et al. [399] fabricated amorphous LATP thin films. Ling et al. reported an ionic conductivity of 6.47 × 10−6 S/cm for LATP films deposited at 500 °C, while Chen et al. reported a higher ionic conductivity (2.46 × 10−5 S/cm) for LATP films deposited at 300 °C.
Li2O–V2O5–SiO2 (LVSO), one of the LISICON type material systems, has also been deposited as thin films with PLD [402,403,404,405,406] and RF sputtering [319,407,408]. Kuwata et al. [404] deposited LVSO thin films on top of Pt-coated quartz glass substrates using PLD. According to this study, the LSVO thin films were found to be amorphous with a conductivity of 3 × 10−7 S/cm at room temperature. Kawamura et al. [406] also reported the deposition of LVSO thin films via PLD. The authors utilized three different post-annealing temperatures (200, 400 and 600 °C), which resulted in the increased crystallinity of LVSO films. The conductivity of the as-deposited amorphous LVSO thin films was a 10−7 S/cm, which is ~2 order of magnitudes lower than the bulk value. Ohtsuka et al. [319,407,408] reported the growth of crystalline LVSO thin films via RF sputtering. The films were grown on multiple substrates including sapphire, SiO2 glass, Pt–Pd-coated SiO2 glass, SnO2-coated SiO2 glass and stainless steel. Thin films on SiO2 glass, sapphire, and stainless steel showed a dominant (002) orientation. The LSVO|sapphire samples were then annealed at different temperatures of 410, 510 and 610 °C. As annealing temperature goes up, (011), (020) and (220) orientations started to show up. The major XRD peak of (002) has an increase in peak intensity and a decrease in peak width, which indicates better film crystallinity and larger grain size. The influence of deposition temperature was also investigated. The peak intensity of the (002) LVSO plane first increased as the deposition temperature increases. However, the (002) LVSO peak was not observed in samples deposited at temperature higher than 300 °C, showing very minor (011) and (210) peak with the deposition temperatures of 300 and 390 °C, respectively. As for conductivity, the as-deposited films at 60 and 200 °C showed ~10−6 S/cm of conductivity at room temperature. The films annealed at 600 °C (samples deposited at 60 and 200 °C) showed a higher conductivity of 10−5 S/cm, which is one order magnitude higher than the bulk conductivity value of LVSO, as shown in Figure 12.
LiPON is the most widely used electrolyte for SSTFBs due to its good stability, easy growth of thin films and good ionic conductivity at room temperature. There have been extensive studies on LiPON thin films prepared by RF sputtering [179,409,410], PLD [411,412] and ALD [413]. The nitrogen to phosphorus (N/P) ratio can be controlled by the N2 gas pressure during the deposition for RF sputtering and PLD [179,409,410,411,412]. The N/P ratio can also be varied by controlling the dose of N2 during the ALD process [413]. Similar to LLZO, LiPON thin films are also amorphous after the deposition. The ionic conductivity was found to increase with increasing the N/P ratio ranging from 10−4 to 10−7 S/cm [409,413]. As shown in Figure 13, most the thin films showed a similar or higher conductivity value than the bulk polycrystalline reference, ranging from 10−2 to 10−7 S/cm.
LLTO is one of the most commonly used perovskite-based solid electrolyte materials in SSBs due to its high bulk ionic conductivity [182]. Many attempts have been made to control the performance of SSTFBs with LLTO by modulating dopant concentration and film morphology [414,415,416,417,418,419,420,421,422,423,424,425]. While various deposition methods can be applied to synthesize epitaxial LLTO thin films, PLD is the most preferred method. Ohnishi et al. [416] grew both a-axis and c-axis oriented epitaxial Li0.33La0.56TiO3 thin films on (001) STO and (110) NdGaO3 (NGO) single crystalline substrates, respectively. The conductivity of (001)-oriented LLTO thin film was found to be ~3.5 × 10−5 S/cm, which is ~2 orders of magnitudes lower than the bulk conductivity of LLTO. The author proposed that the lower conductivity of LLTO thin films is attributed to the lithium-deficient LLTO film composition and the compressive strain induced by the lattice mismatch between the film and the substrate. Wei et al. [414] also grew epitaxial Li0.33La0.56TiO3 thin films with different strain states induced by the lattice mismatch between the substrate and the film, demonstrating the strain-dependent ionic conduction behavior of LLTO thin films. The ionic conductivity of LLTO films in LLTO|NGO along the perpendicular to the b–c plane (6.7 × 10−4 S/cm) was higher than that along the perpendicular to the a–c plane (4.3 × 10−4 S/cm). The authors proposed that the strain-dependent ionic conductivity is due to the larger compressive strain along the perpendicular to the b–c plane. (111)-oriented epitaxial Li0.17La0.61TiO3 thin films were successfully synthesized on the (111) LMO|(111) SrRuO3 (SRO)|(111) Nb–STO electrode by Kim et al. [415]. Ohta et al. [417] demonstrated the conductivity of (100)-oriented Li3xLa2/3−xTiO3 (x = 0.1, 0.167) thin films on (001) STO substrate with an atomically flat surface. According to the authors, the conductivity of LLTO thin film (x = 0.1) was found to be 2.5 × 10−2 S/cm at 190 °C, which is in good agreement with the bulk conductivity of single-crystalline LLTO 3 × 10−2 S/cm. Although the PLD process tends to yield epitaxial thin films with good crystallinity and atomically flat surface, it is worth mentioning that the PLD process requires a target material with an excess amount of Li to balance the Li lost during the target sintering and deposition processes [424]. Besides PLD, deposition processes such as sol–gel method and RF sputtering were also used for the growth of LLTO thin films [421,422]. In particular, Teranishi et al. [421] reported the growth of a polycrystalline LLTO thin film with a sol–gel process and Xiong et al. [422] used RF sputtering to fabricate an amorphous LLTO thin film. However, the ionic conductivity of these thin films was orders of magnitudes lower than that of the bulk and PLD-deposited thin films, as shown in Figure 14.
LLZO is one of the commonly used garnet-based electrolyte materials for SSBs. LLZO exhibits good ionic conduction in addition to good structural stability, which makes LLZO a promising electrolyte material for SSTFBs [427,428]. The ion-transport properties of LLZO thin films have been intensively studied with different deposition techniques such as PLD [324,365,429,430,431], RF sputtering [59,432], sol–gel [433,434,435] and CVD [57,436]. One of the features of LLZO is that as-deposited LLZO thin films tend to be amorphous [324,365,430,431,432,434,435,436]. Depending on the post-annealing conditions, different phases could form during the annealing process, resulting in a variation in conductivity, ranging from 10−2 to 10−6 S/cm at room temperature, as shown in Figure 15. Furthermore, it has been reported that deposition temperatures also play a role in the phase evolution [324]. Garbayo et al. [324] reported that LLZO films were found to be a mixture of an amorphous lithiated network and a cubic-type LLZO in the case of the deposition temperature between 50–500 °C. In contrast, the crystallized La2Zr2O7 phase was found to become the major phase with a noticeable amount of cubic type LLZO at a deposition temperature of 750 °C. The authors also demonstrated that LLZO thin films deposited at 300 °C showed the highest ionic conductivity and lowest activation energy, while the film deposited at 750 °C showed the lowest ionic conductivity and highest activation energy. These results imply that the amorphous structure could be better for Li+ conduction compared to the crystallized structure.

5. Conclusions

Replacing liquid electrolytes with solid counterparts allows SSBs to exhibit excellent safety, electrochemical stability and high energy density. Despite these advantages, further enhancement of the current SSBs is required to be used in practical applications. Improving the material properties of electrodes and electrolytes may accelerate the development of the next-generation energy storage systems. This review discussed key advances in battery materials and possible solutions to solve their issues. Surface modification, doping and nanostructuring have been successfully used to improve the electrode performance. For the solid electrolyte, many efforts have been devoted to improving the ionic conductivity by doping or altering the crystal structures. Indeed, several studies have improved the thermal and chemical stability of the solid electrolytes by replacing sensitive elements, such as transition metals. While we mainly focused on oxide-based solid electrolytes, LiRAP and sulfide-based solid electrolytes are becoming increasingly attractive owing to their outstanding ionic conductivity. However, the highly air sensitive nature of these electrolytes remains a substantial obstacle.
The interfacial problems discussed in this review—insufficient mechanical contact between the electrode and the electrolyte, significant volume changes of electrodes and formation of SCRs and lithium metal dendrites—cause large interfacial resistances leading to the performance degradation of SSBs. Introducing thin films as buffer layers is a promising approach to overcome these interfacial issues. We discussed how to control the growth of thin films with various thin films deposition methods such as thermal evaporation, PLD, sputtering, CVD, ALD and sol–gel. Recent studies on the successful suppression of dendrites, SCRs and SEI layers by having thin-film buffer layers were also discussed systematically.
Nanostructured thin films also play a key role in constructing high-performance SSTFBs, which can be implemented in wearable/flexible electronics and microelectronic devices. We summarized recent advances in thin-film electrodes and electrolytes and their impact on the SSTFB performances. While most thin films can be fabricated by different deposition methods, the film growth techniques may need to be carefully chosen depending on the material systems. Nevertheless, PLD has shown its unique advantage in controlling the physical properties of thin films, which directly influence the performance of SSTFBs. RF sputtering and sol–gel are also commonly used to synthesize thin-film electrodes for SSTFBs. To improve the performance of SSTFBs, a couple of different approaches such as applying epitaxial thin films, introducing the lattice strain and changing the crystallographic orientation of films have been utilized. However, the effect of changing the physical properties of thin films on the SSTFB performance is not yet fully understood as SSTFBs are still in their infancy.
Apart from the topics covered in this review, we propose two promising approaches for the investigation to achieve further advances in SSBs and SSTFBs. Over the last few decades, the development of oxide thin films has led to many technological breakthroughs for energy and electronic devices. In particular, 2D planar heterostructures have been prevailingly investigated as they lead not only to improved functionalities but even to the occurrence of novel properties that do not belong to the bulk [438,439]. For instance, the discovery of the formation of a conducting interface between two insulators [438], STO and LaAlO3 (LAO), brought the breakthrough in the field of oxide electronics while also becoming the pole of attraction and inspiration for numerous studies [440,441]. Recently, a couple of attempts have been made to utilize heterostructure thin films in lithium-based batteries [395,442,443,444]. In addition, developing new forms of materials with tailored properties could bring technological breakthroughs in the next-generation energy storage systems. For example, 3D nanostructures can offer an extremely large number of interfaces and surface area, which are beneficial for enhancing the electrochemical performance and ion transport in materials [445,446]. To date, a few studies have been investigated the influence of 3D nanostructures on the performance of lithium-based batteries [447,448]. Exploring new forms of materials will bring new opportunities to develop high-performance electrodes and electrolytes for SSBs and SSTFBs.

Author Contributions

Conceptualization and supervision, D.O. and D.L.; investigation, G.Y., C.A., Y.M., M.L. and E.H.; writing—original draft preparation, G.Y., C.A., Y.M. and M.L.; writing—review and editing, D.O. and D.L.; visualization, G.Y., C.A. and Y.M. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the College of Engineering and Computing at the University of South Carolina. Support for C.A. and D.O. was provided by the Davidson College of Engineering at San José State University.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Zhang, J.; Zhang, L.; Sun, F.; Wang, Z. An overview on thermal safety issues of lithium-ion batteries for electric vehicle application. IEEE Access 2018, 6, 23848–23863. [Google Scholar] [CrossRef]
  2. Dubarry, M.; Devie, A. Battery durability and reliability under electric utility grid operations: Representative usage aging and calendar aging. J. Energy Storage 2018, 18, 185–195. [Google Scholar] [CrossRef]
  3. Lopez, J.; Gonzalez, M.; Viera, J.C.; Blanco, C. Fast-charge in lithium-ion batteries for portable applications. In Proceedings of the INTELEC 2004. 26th Annual International Telecommunications Energy Conference, Chicago, IL, USA, 19–23 September 2004. [Google Scholar]
  4. Karden, E.; Ploumen, S.; Fricke, B.; Miller, T.; Snyder, K. Energy storage devices for future hybrid electric vehicles. J. Power Sources 2007, 168, 2–11. [Google Scholar] [CrossRef]
  5. Nishi, Y. Lithium ion secondary batteries: Past 10 years and the future. J. Power Sources 2001, 100, 101–106. [Google Scholar] [CrossRef]
  6. Ge, S.; Leng, Y.; Liu, T.; Longchamps, R.S.; Yang, X.G.; Gao, Y.; Wang, D.; Wang, D.; Wang, C.Y. A new approach to both high safety and high performance of lithium-ion batteries. Sci. Adv. 2020, 6, eaay7633. [Google Scholar] [CrossRef] [Green Version]
  7. Schmidt, M.; Neuschütz, M. Lithium-ion batteries key component electrolyte. ATZ Worldw. 2011, 6, 10–15. [Google Scholar] [CrossRef]
  8. Kwade, A.; Haselrieder, W.; Leithoff, R.; Modlinger, A.; Dietrich, F.; Droeder, K. Current status and challenges for automotive battery production technologies. Nat. Energy 2018, 3, 290–300. [Google Scholar] [CrossRef]
  9. Plug-in Electric Light Vehicle Sales Worldwide 2015–2019. Available online: https://www.statista.com/statistics/665774/global-sales-of-plug-in-light-vehicles/#:~:text=In%202019%2C%20around%202.2%20million,(PEV)%20were%20sold%20worldwide (accessed on 11 June 2020).
  10. Peljo, P.; Girault, H.H. Electrochemical potential window of battery electrolytes: The HOMO-LUMO misconception. Energy Environ. Sci. 2018, 11, 2306–2309. [Google Scholar] [CrossRef]
  11. Eshetu, G.G.; Grugeon, S.; Laruelle, S.; Boyanov, S.; Lecocq, A.; Bertrand, J.P.; Marlair, G. In-depth safety-focused analysis of solvents used in electrolytes for large scale lithium ion batteries. Phys. Chem. Chem. Phys. 2013, 15, 9145–9155. [Google Scholar] [CrossRef] [PubMed]
  12. Fu, Y.; Lu, S.; Shi, L.; Cheng, X.; Zhang, H. Combustion characteristics of electrolyte pool fires for lithium ion batteries. J. Electrochem. Soc. 2016, 163, A2022–A2028. [Google Scholar] [CrossRef]
  13. Fan, H.; Qi, L.; Yoshio, M.; Wang, H. Hexafluorophosphate intercalation into graphite electrode from ethylene carbonate/ethylmethyl carbonate. Solid State Ion. 2017, 304, 107–112. [Google Scholar] [CrossRef]
  14. Ding, W.; Lei, X.; Ouyang, C. Coordination of lithium ion with ethylene carbonate electrolyte solvent: A computational study. Int. J. Quantum Chem. 2016, 116, 97–102. [Google Scholar] [CrossRef]
  15. Younesi, R.; Veith, G.M.; Johansson, P.; Edström, K.; Vegge, T. Lithium salts for advanced lithium batteries: Li-metal, Li-O2, and Li-S. Energy Environ. Sci. 2015, 8, 1905–1922. [Google Scholar] [CrossRef] [Green Version]
  16. Liu, L.; Gu, S.; Wang, S.; Zhang, X.; Chen, S. A LiPO2F2/LiPF6 dual-salt electrolyte enabled stable cycling performance of nickel-rich lithium ion batteries. RSC Adv. 2020, 10, 1704–1710. [Google Scholar] [CrossRef] [Green Version]
  17. Miller, T.F.; Wang, Z.G.; Coates, G.W.; Balsara, N.P. Designing polymer electrolytes for safe and high capacity rechargeable lithium batteries. Acc. Chem. Res. 2017, 50, 590–593. [Google Scholar] [CrossRef] [PubMed]
  18. Arbizzani, C.; Gabrielli, G.; Mastragostino, M. Thermal stability and flammability of electrolytes for lithium-ion batteries. J. Power Sources 2011, 196, 4801–4805. [Google Scholar] [CrossRef]
  19. Takehara, Z.I. Future prospects of the lithium metal anode. J. Power Sources 1997, 68, 82–86. [Google Scholar] [CrossRef]
  20. Takeda, Y.; Yamamoto, O.; Imanishi, N. Lithium dendrite formation on a lithium metal anode from liquid, polymer and solid electrolytes. Electrochemistry 2016, 84, 210–218. [Google Scholar] [CrossRef] [Green Version]
  21. Xu, R.C.; Wang, X.L.; Zhang, S.Z.; Xia, Y.; Xia, X.H.; Wu, J.B.; Tu, J.P. Rational coating of Li7P3S11 solid electrolyte on MoS2 electrode for all-solid-state lithium ion batteries. J. Power Sources 2018, 374, 107–112. [Google Scholar] [CrossRef]
  22. Yang, J.; Wang, X.; Zhang, G.; Ma, A.; Chen, W.; Shao, L.; Shen, C.; Xie, K. High-performance solid composite polymer electrolyte for all solid-state lithium battery through facile microstructure regulation. Front. Chem. 2019, 7, 338. [Google Scholar] [CrossRef]
  23. Xu, H.; Chien, P.H.; Shi, J.; Li, Y.; Wu, N.; Liu, Y.; Hu, Y.Y.; Goodenough, J.B. High-performance all-solid-state batteries enabled by salt bonding to perovskite in poly (ethylene oxide). Proc. Natl. Acad. Sci. USA 2019, 116, 18815–18821. [Google Scholar] [CrossRef] [Green Version]
  24. Chen, J.; Huang, X.; Zhu, Y.; Jiang, P. Cellulose nanofiber supported 3D interconnected BN nanosheets for epoxy nanocomposites with ultrahigh thermal management capability. Adv. Funct. Mater. 2017, 27, 1604754. [Google Scholar] [CrossRef]
  25. Hou, H.; Xu, Q.; Pang, Y.; Li, L.; Wang, J.; Zhang, C.; Sun, C. Efficient storing energy harvested by triboelectric nanogenerators using a safe and durable all-solid-state sodium-ion battery. Adv. Sci. 2017, 4, 1700072. [Google Scholar] [CrossRef] [PubMed]
  26. Simonetti, E.; Carewska, M.; Maresca, G.; De Francesco, M.; Appetecchi, G.B. Highly conductive, ionic liquid-based polymer electrolytes. J. Electrochem. Soc. 2016, 164, A6213–A6219. [Google Scholar] [CrossRef]
  27. Kato, Y.; Hori, S.; Saito, T.; Suzuki, K.; Hirayama, M.; Mitsui, A.; Yonemura, M.; Iba, H.; Kanno, R. High-power all-solid-state batteries using sulfide superionic conductors. Nat. Energy 2016, 1, 16030. [Google Scholar] [CrossRef]
  28. Gür, T.M. Review of electrical energy storage technologies, materials and systems: Challenges and prospects for large-scale grid storage. Energy Environ. Sci. 2018, 11, 2696–2767. [Google Scholar] [CrossRef]
  29. Wu, X.; Yao, S. Flexible electrode materials based on WO3 nanotube bundles for high performance energy storage devices. Nano Energy 2017, 42, 143–150. [Google Scholar] [CrossRef]
  30. Wu, F.; Yushin, G. Conversion cathodes for rechargeable lithium and lithium-ion batteries. Energy Environ. Sci. 2017, 10, 435–459. [Google Scholar] [CrossRef]
  31. Taberna, P.L.; Mitra, S.; Poizot, P.; Simon, P.; Tarascon, J.M. High rate capabilities Fe3O4-based Cu nano-architectured electrodes for lithium-ion battery applications. Nat. Mater. 2006, 5, 567–573. [Google Scholar] [CrossRef] [Green Version]
  32. Liu, W.; Lin, D.; Pei, A.; Cui, Y. Stabilizing lithium metal anodes by uniform Li-ion flux distribution in nanochannel confinement. J. Am. Chem. Soc. 2016, 138, 15443–15450. [Google Scholar] [CrossRef]
  33. Armstrong, A.R.; Bruce, P.G. Synthesis of layered LiMnO2 as an electrode for rechargeable lithium batteries. Nature 1996, 381, 499–500. [Google Scholar] [CrossRef]
  34. Zhao, J.; Zhang, W.; Huq, A.; Misture, S.T.; Zhang, B.; Guo, S.; Wu, L.; Zhu, Y.; Chen, Z.; Amine, K.; et al. In Situ probing and synthetic control of cationic ordering in Ni-rich layered oxide cathodes. Adv. Energy Mater. 2017, 7, 1601266. [Google Scholar] [CrossRef]
  35. Zheng, J.; Liu, T.; Hu, Z.; Wei, Y.; Song, X.; Ren, Y.; Wang, W.; Rao, M.; Lin, Y.; Chen, Z.; et al. Tuning of thermal stability in layered Li(NixMnyCoz)O2. J. Am. Chem. Soc. 2016, 138, 13326–13334. [Google Scholar] [CrossRef] [PubMed]
  36. Zhao, J.; Zhou, G.; Yan, K.; Xie, J.; Li, Y.; Liao, L.; Jin, Y.; Liu, K.; Hsu, P.C.; Wang, J.; et al. Air-stable and freestanding lithium alloy/graphene foil as an alternative to lithium metal anodes. Nat. Nanotechnol. 2017, 12, 993–999. [Google Scholar] [CrossRef] [PubMed]
  37. Zheng, M.; Tang, H.; Li, L.; Hu, Q.; Zhang, L.; Xue, H.; Pang, H. Hierarchically nanostructured transition metal oxides for lithium-ion batteries. Adv. Sci. 2018, 5, 1700592. [Google Scholar] [CrossRef] [Green Version]
  38. Liu, H.; Bugnet, M.; Tessaro, M.Z.; Harris, K.J.; Dunham, M.J.R.; Jiang, M.; Goward, G.R.; Botton, G.A. Spatially resolved surface valence gradient and structural transformation of lithium transition metal oxides in lithium-ion batteries. Phys. Chem. Chem. Phys. 2016, 18, 29064–29075. [Google Scholar] [CrossRef]
  39. Shukla, A.K.; Ramasse, Q.M.; Ophus, C.; Kepaptsoglou, D.M.; Hage, F.S.; Gammer, C.; Bowling, C.; Gallegos, P.A.H.; Venkatachalam, S. Effect of composition on the structure of lithium- and manganese-rich transition metal oxides. Energy Environ. Sci. 2018, 11, 830–840. [Google Scholar] [CrossRef]
  40. Chan, C.K.; Zhang, X.F.; Cui, Y. High capacity Li ion battery anodes using Ge nanowires. Nano Lett. 2008, 8, 307–309. [Google Scholar] [CrossRef] [PubMed]
  41. Meduri, P.; Pendyala, C.; Kumar, V.; Sumanasekera, G.U.; Sunkara, M.K. Hybrid tin oxide nanowires as stable and high capacity anodes for Li-ion batteries. Nano Lett. 2009, 9, 612–616. [Google Scholar] [CrossRef] [PubMed]
  42. Guyomard, D.; Sigala, C.; de Gal La Salle, A.; Piffard, Y. New amorphous oxides as high capacity negative electrodes for lithium batteries: The LixMVO4 (M = Ni, Co, Cd, Zn; 1 < x ≤ 8) series. J. Power Sources 1997, 68, 692–697. [Google Scholar]
  43. Piffard, Y.; Leroux, F.; Guyomard, D.; Mansot, J.L.; Tournoux, M. The amorphous oxides MnV2O6+δ (0 < δ < 1) as high capacity negative electrode materials for lithium batteries. J. Power Sources 1997, 68, 698–703. [Google Scholar]
  44. Shodai, T.; Okada, S.; Tobishima, S.; Yamaki, J. Anode performance of a new layered nitride Li3−xCoxN (x = 0.2–0.6). J. Power Sources 1997, 68, 515–518. [Google Scholar] [CrossRef]
  45. Goodenough, J.B.; Kim, Y. Challenges for rechargeable Li batteries. Chem. Mater. 2010, 22, 587–603. [Google Scholar] [CrossRef]
  46. Xu, R.; Han, F.; Ji, X.; Fan, X.; Tu, J.; Wang, C. Interface engineering of sulfide electrolytes for all-solid-state lithium batteries. Nano Energy 2018, 53, 958–966. [Google Scholar] [CrossRef]
  47. Wang, G.X.; Bradhurst, D.H.; Dou, S.X.; Liu, H.K. LiTi2(PO4)3 with NASICON-type structure as lithium-storage materials. J. Power Sources 2003, 124, 231–236. [Google Scholar] [CrossRef]
  48. Li, Y.; Xu, H.; Chien, P.H.; Wu, N.; Xin, S.; Xue, L.; Park, K.; Hu, Y.Y.; Goodenough, J.B. A perovskite electrolyte that is stable in moist air for lithium-ion batteries. Angew. Chem. Int. Ed. 2018, 57, 8587–8591. [Google Scholar] [CrossRef]
  49. Al-Qawasmeh, A.; Holzwarth, N.A.W. Li14P2O3N6 and Li7PN4: Computational study of two nitrogen rich crystalline LiPON electrolyte materials. J. Power Sources 2017, 364, 410–419. [Google Scholar] [CrossRef]
  50. Asano, T.; Sakai, A.; Ouchi, S.; Sakaida, M.; Miyazaki, A.; Hasegawa, S. Solid halide electrolytes with high lithium-ion conductivity for application in 4 V class bulk-type all-solid-state batteries. Adv. Mater. 2018, 30, 1803075. [Google Scholar] [CrossRef]
  51. Maekawa, H.; Matsuo, M.; Takamura, H.; Ando, M.; Noda, Y.; Karahashi, T.; Orimo, S.I. Halide-stabilized LiBH4, a room-temperature lithium fast-ion conductor. J. Am. Chem. Soc. 2009, 131, 894–895. [Google Scholar] [CrossRef]
  52. Seino, Y.; Ota, T.; Takada, K.; Hayashi, A.; Tatsumisago, M. A sulphide lithium super ion conductor is superior to liquid ion conductors for use in rechargeable batteries. Energy Environ. Sci. 2014, 7, 627–631. [Google Scholar] [CrossRef]
  53. Kamaya, N.; Homma, K.; Yamakawa, Y.; Hirayama, M.; Kanno, R.; Yonemura, M.; Kamiyama, T.; Kato, Y.; Hama, S.; Kawamoto, K.; et al. A lithium superionic conductor. Nat. Mater. 2011, 10, 682–686. [Google Scholar] [CrossRef] [PubMed]
  54. Fu, K.K.; Gong, Y.; Xu, S.; Zhu, Y.; Li, Y.; Dai, J.; Wang, C.; Liu, B.; Pastel, G.; Xie, H.; et al. Stabilizing the garnet solid-electrolyte/polysulfide interface in Li-S batteries. Chem. Mater. 2017, 29, 8037–8041. [Google Scholar] [CrossRef]
  55. Murugan, R.; Thangadurai, V.; Weppner, W. Fast lithium ion conduction in garnet-type Li7La3Zr2O12. Angew. Chem. Int. Ed. 2007, 46, 7778–7781. [Google Scholar] [CrossRef] [PubMed]
  56. Xiang, Y.X.; Zheng, G.; Zhong, G.; Wang, D.; Fu, R.; Yang, Y. Toward understanding of ion dynamics in highly conductive lithium ion conductors: Some perspectives by solid state NMR techniques. Solid State Ion. 2018, 318, 19–26. [Google Scholar] [CrossRef]
  57. Loho, C.; Djenadic, R.; Bruns, M.; Clemens, O.; Hahn, H. Garnet-type Li7La3Zr2O12 solid electrolyte thin films grown by CO2-laser assisted CVD for all-solid-state batteries. J. Electrochem. Soc. 2016, 164, A6131–A6139. [Google Scholar] [CrossRef]
  58. Wang, Z.; Lee, J.Z.; Xin, H.L.; Han, L.; Grillon, N.; Guy-Bouyssou, D.; Bouyssou, E.; Proust, M.; Meng, Y.S. Effects of cathode electrolyte interfacial (CEI) layer on long term cycling of all-solid-state thin-film batteries. J. Power Sources 2016, 324, 342–348. [Google Scholar] [CrossRef] [Green Version]
  59. Lobe, S.; Dellen, C.; Finsterbusch, M.; Gehrke, H.G.; Sebold, D.; Tsai, C.L.; Uhlenbruck, S.; Guillon, O. Radio frequency magnetron sputtering of Li7La3Zr2O12 thin films for solid-state batteries. J. Power Sources 2016, 307, 684–689. [Google Scholar] [CrossRef] [Green Version]
  60. Larfaillou, S.; Guy-Bouyssou, D.; le Cras, F.; Franger, S. Comprehensive characterization of all-solid-state thin films commercial microbatteries by electrochemical impedance spectroscopy. J. Power Sources 2016, 319, 139–146. [Google Scholar] [CrossRef]
  61. Yoon, M.; Lee, S.; Lee, D.; Kim, J.; Moon, J. All-solid-state thin film battery based on well-aligned slanted LiCoO2 nanowires fabricated by glancing angle deposition. Appl. Surf. Sci. 2017, 412, 537–544. [Google Scholar] [CrossRef]
  62. Wang, Y.; Roller, J.; Maric, R. Direct dry synthesis of thin nanostructured LiNi0.8Co0.2O2 film for lithium ion micro-battery cathodes. Electrochim. Acta 2017, 241, 510–516. [Google Scholar] [CrossRef]
  63. Chan, C.K.; Ruffo, R.; Hong, S.S.; Huggins, R.A.; Cui, Y. Structural and electrochemical study of the reaction of lithium with silicon nanowires. J. Power Sources 2009, 189, 34–39. [Google Scholar] [CrossRef]
  64. Chen, J.S.; Lou, X.W. Anatase TiO2 nanosheet: An ideal host structure for fast and efficient lithium insertion/extraction. Electrochem. Commun. 2009, 11, 2332–2335. [Google Scholar] [CrossRef]
  65. Chou, S.L.; Wang, J.Z.; Sun, J.Z.; Wexler, D.; Forsyth, M.; Liu, H.K.; MacFarlane, D.R.; Dou, S.X. High capacity, safety, and enhanced cyclability of lithium metal battery using a V2O5 nanomaterial cathode and room temperature ionic liquid electrolyte. Chem. Mater. 2008, 20, 7044–7051. [Google Scholar] [CrossRef]
  66. Dokko, K.; Nakata, N.; Kanamura, K. High rate discharge capability of single particle electrode of LiCoO2. J. Power Sources 2009, 189, 783–785. [Google Scholar] [CrossRef]
  67. Jang, Y.I.; Huang, B.; Wang, H.; Sadoway, D.R.; Chiang, Y.M. Electrochemical cycling-induced spinel formation in high-charge-capacity orthorhombic LiMnO2. J. Electrochem. Soc. 1999, 146, 3217. [Google Scholar] [CrossRef]
  68. Landi, B.J.; Ganter, M.J.; Cress, C.D.; DiLeo, R.A.; Raffaelle, R.P. Carbon nanotubes for lithium ion batteries. Energy Environ. Sci. 2009, 2, 638–654. [Google Scholar] [CrossRef]
  69. Mauger, A.; Xie, H.; Julien, C.M. Composite anodes for lithium-ion batteries: Status and trends. AIMS Mater. Sci. 2016, 3, 1054. [Google Scholar] [CrossRef]
  70. Ohzuku, T.; Ueda, A.; Nagayama, M. Electrochemistry and structural chemistry of LiNiO2 (R3m) for 4 volt secondary lithium cells. J. Electrochem. Soc. 1993, 140, 1862. [Google Scholar] [CrossRef]
  71. Reddy, T.B. Linden’s Handbook of Batteries, 4th ed.; Mcgraw-Hill: New York, NY, USA, 2011. [Google Scholar]
  72. Song, M.; Ahn, D. Improvement in the cycling performance of LiMn2O4 by the substitution of Fe for Mn. Solid State Ion. 1998, 112, 245–248. [Google Scholar] [CrossRef]
  73. Zhao, Q.; Zhang, Y.; Meng, Y.; Wang, Y.; Ou, J.; Guo, Y.; Xiao, D. Phytic acid derived LiFePO4 beyond theoretical capacity as high-energy density cathode for lithium ion battery. Nano Energy 2017, 34, 408–420. [Google Scholar] [CrossRef]
  74. Zou, Z.; Yuan, Q.; Wang, J.; Gao, Y.; Wu, Y.; Long, F.; Han, S.; Wan, Z. Hydrothermal synthesis of high specific capacity Al-doped V6O13 cathode material for lithium-ion battery. Int. J. Electrochem. Sci. 2017, 12, 1670–1679. [Google Scholar] [CrossRef]
  75. Zheng, F.; Kotobuki, M.; Song, S.; Lai, M.O.; Lu, L. Review on solid electrolytes for all-solid-state lithium-ion batteries. J. Power Sources 2018, 389, 198–213. [Google Scholar] [CrossRef]
  76. Manthiram, A.; Yu, X.; Wang, S. Lithium battery chemistries enabled by solid-state electrolytes. Nat. Rev. Mater. 2017, 2, 16103. [Google Scholar] [CrossRef]
  77. Zhao, Q.; Stalin, S.; Zhao, C.Z.; Archer, L.A. Designing solid-state electrolytes for safe, energy-dense batteries. Nat. Rev. Mater. 2020, 5, 229–252. [Google Scholar] [CrossRef]
  78. Dietrich, C.; Weber, D.A.; Sedlmaier, S.J.; Indris, S.; Culver, S.P.; Walter, D.; Janek, J.; Zeier, W.G. Lithium ion conductivity in Li2S-P2S5 glasses–building units and local structure evolution during the crystallization of superionic conductors Li3PS4, Li7P3S11 and Li4P2S7. J. Mater. Chem. A 2017, 5, 18111–18119. [Google Scholar] [CrossRef]
  79. Wang, S.; Zhang, Y.; Zhang, X.; Liu, T.; Lin, Y.H.; Shen, Y.; Li, L.; Nan, C.W. High-conductivity argyrodite Li6PS5Cl solid electrolytes prepared via optimized sintering processes for all-solid-state lithium-sulfur batteries. ACS Appl. Mater. Interfaces 2018, 10, 42279–42285. [Google Scholar] [CrossRef] [PubMed]
  80. Moitzheim, S.; Put, B.; Vereecken, P.M. Advances in 3D thin-film Li-ion batteries. Adv. Mater. Interfaces 2019, 6, 1900805. [Google Scholar] [CrossRef]
  81. Qi, Z.; Wang, H. Advanced thin film cathodes for lithium ion batteries. Research 2020, 2020, 24. [Google Scholar] [CrossRef] [Green Version]
  82. Salah, M.; Murphy, P.; Hall, C.; Francis, C.; Kerr, R.; Fabretto, M. Pure silicon thin-film anodes for lithium-ion batteries: A review. J. Power Sources 2019, 414, 48–67. [Google Scholar] [CrossRef]
  83. Larcher, D.; Tarascon, J.M. Towards greener and more sustainable batteries for electrical energy storage. Nat. Chem. 2015, 7, 19–29. [Google Scholar] [CrossRef]
  84. Feng, X.; Ouyang, M.; Liu, X.; Lu, L.; Xia, Y.; He, X. Thermal runaway mechanism of lithium ion battery for electric vehicles: A review. Energy Storage Mater. 2018, 10, 246–267. [Google Scholar] [CrossRef]
  85. Haering, R.R.; Stiles, J.A.R.; Brandt, K. Lithium Molybdenum Disulphide Battery Cathode. U.S. Patent 4,224,390, 23 September 1980. [Google Scholar]
  86. Li, W.; Yao, H.; Yan, K.; Zheng, G.; Liang, Z.; Chiang, Y.M.; Cui, Y. The synergetic effect of lithium polysulfide and lithium nitrate to prevent lithium dendrite growth. Nat. Commun. 2015, 6, 7436. [Google Scholar] [CrossRef] [PubMed]
  87. Fu, K.K.; Gong, Y.; Liu, B.; Zhu, Y.; Xu, S.; Yao, Y.; Luo, W.; Wang, C.; Lacey, S.D.; Dai, J.; et al. Toward garnet electrolyte-based Li metal batteries: An ultrathin, highly effective, artificial solid-state electrolyte/metallic Li interface. Sci. Adv. 2017, 3, e1601659. [Google Scholar] [CrossRef] [Green Version]
  88. Wang, C.; Gong, Y.; Liu, B.; Fu, K.; Yao, Y.; Hitz, E.; Li, Y.; Dai, J.; Xu, S.; Luo, W.; et al. Conformal, nanoscale ZnO surface modification of garnet-based solid-state electrolyte for lithium metal anodes. Nano Lett. 2017, 17, 565–571. [Google Scholar] [CrossRef] [PubMed]
  89. Fu, K.; Gong, Y.; Hitz, G.T.; McOwen, D.W.; Li, Y.; Xu, S.; Wen, Y.; Zhang, L.; Wang, C.; Pastel, G.; et al. Three-dimensional bilayer garnet solid electrolyte based high energy density lithium metal-sulfur batteries. Energy Environ. Sci. 2017, 10, 1568–1575. [Google Scholar] [CrossRef]
  90. Lushta, V.; Dietzel, D.; Roling, B.; Schirmeisen, A. Nanoscale characterization of ion mobility by temperature-controlled Li-nanoparticle growth. ACS Appl. Mater. Interfaces 2019, 11, 5476–5483. [Google Scholar] [CrossRef]
  91. Chan, C.K.; Peng, H.; Liu, G.; Mcilwrath, K.; Zhang, X.F.; Huggins, R.A.; Cui, Y. High-performance lithium battery anodes using silicon nanowires. Nat. Nanotechnol. 2008, 3, 31–35. [Google Scholar] [CrossRef]
  92. Liu, X.H.; Zhong, L.; Huang, S.; Mao, S.X.; Zhu, T.; Huang, J.Y. Size-dependent fracture of silicon nanoparticles during lithiation. ACS Nano 2012, 6, 1522–1531. [Google Scholar] [CrossRef] [PubMed]
  93. Wang, J.; Liao, L.; Lee, H.R.; Shi, F.; Huang, W.; Zhao, J.; Pei, A.; Tang, J.; Zheng, X.; Chen, W.; et al. Surface-engineered mesoporous silicon microparticles as high-coulombic-efficiency anodes for lithium-ion batteries. Nano Energy 2019, 61, 404–410. [Google Scholar] [CrossRef]
  94. Graetz, J.A.; Fultz, B.T.; Ahn, C.; Yazami, R. High-Capacity Nanostructured Silicon and Lithium Alloys Thereof. U.S. Patent 20,040,126,659, 1 July 2004. [Google Scholar]
  95. Hou, G.; Cheng, B.; Yang, Y.; Du, Y.; Zhang, Y.; Li, B.; He, J.; Zhou, Y.; Yi, D.; Zhao, N.; et al. Multiscale buffering engineering in silicon-carbon anode for ultrastable Li-ion storage. ACS Nano 2019, 13, 10179–10190. [Google Scholar] [CrossRef]
  96. Schneier, D.; Harpak, N.; Menkin, S.; Davidi, G.; Goor, M.; Mados, E.; Ardel, G.; Patolsky, F.; Golodnitsky, D.; Peled, E. Analysis of scale-up parameters in 3D silicon-nanowire lithium-battery anodes. J. Electrochem. Soc. 2020, 167, 050511. [Google Scholar] [CrossRef]
  97. Ai, Q.; Li, D.; Guo, J.; Hou, G.; Sun, Q.; Sun, Q.; Xu, X.; Zhai, W.; Zhang, L.; Feng, J.; et al. Artificial solid electrolyte interphase coating to reduce lithium trapping in silicon anode for high performance lithium-ion batteries. Adv. Mater. Interfaces 2019, 6, 1901187. [Google Scholar] [CrossRef]
  98. Shang, H.; Zuo, Z.; Yu, L.; Wang, F.; He, F.; Li, Y. Low-temperature growth of all-carbon graphdiyne on a silicon anode for high-performance lithium-ion batteries. Adv. Mater. 2018, 30, e1801459. [Google Scholar] [CrossRef] [PubMed]
  99. Zhou, Y.; Yang, Y.; Hou, G.; Yi, D.; Zhou, B.; Chen, S.; Lam, T.D.; Yuan, F.; Golberg, D.; Wang, X. Stress-relieving defects enable ultra-stable silicon anode for Li-ion storage. Nano Energy 2020, 70, 104568. [Google Scholar] [CrossRef]
  100. Xu, H.; Wang, Y.; Chen, R.; Bai, Y.; Li, T.; Jin, H.; Wang, J.; Xia, H. A green-synthetic spiderweb-like Si@graphene-oxide anode material with multifunctional citric acid binder for high energy-density Li-ion batteries. Carbon 2020, 157, 330–339. [Google Scholar] [CrossRef]
  101. Hwang, C.; Lee, K.; Um, H.D.; Lee, Y.; Seo, K.; Song, H.K. Conductive and porous silicon nanowire anodes for lithium ion batteries. J. Electrochem. Soc. 2017, 164, A1564–A1568. [Google Scholar] [CrossRef]
  102. Li, J.Y.; Li, G.; Zhang, J.; Yin, Y.X.; Yue, F.S.; Xu, Q.; Guo, Y.G. Rational design of robust Si/C microspheres for high-tap-density anode materials. ACS Appl. Mater. Interfaces 2019, 11, 4057–4064. [Google Scholar] [CrossRef]
  103. Kuhne, M.; Borrnert, F.; Fecher, S.; Ghorbani-Asl, M.; Biskupek, J.; Samuelis, D.; Krasheninnikov, A.V.; Kaiser, U.; Smet, J.H. Reversible superdense ordering of lithium between two graphene sheets. Nature 2018, 564, 234–239. [Google Scholar] [CrossRef]
  104. Nandi, S.; Das, S.K. Realizing a low-cost and sustainable rechargeable aqueous aluminum-metal battery with exfoliated graphite cathode. ACS Sustain. Chem. Eng. 2019, 7, 19839–19847. [Google Scholar] [CrossRef]
  105. Yang, C.; Chen, J.; Ji, X.; Pollard, T.P.; Lu, X.; Sun, C.J.; Hou, S.; Liu, Q.; Liu, C.; Qing, T.; et al. Aqueous Li-ion battery enabled by halogen conversion-intercalation chemistry in graphite. Nature 2019, 569, 245–250. [Google Scholar] [CrossRef]
  106. Mortazavi, B.; Shahrokhi, M.; Madjet, M.E.; Makaremi, M.; Ahzi, S.; Rabczuk, T. N-, P-, As-triphenylene-graphdiyne: Strong and stable 2D semiconductors with outstanding capacities as anodes for Li-ion batteries. Carbon 2019, 141, 291–303. [Google Scholar] [CrossRef] [Green Version]
  107. Chen, Z.; Belharouak, I.; Sun, Y.K.; Amine, K. Titanium-based anode materials for safe lithium-ion batteries. Adv. Funct. Mater. 2013, 23, 959–969. [Google Scholar] [CrossRef]
  108. Wang, S.; Yang, Y.; Dong, Y.; Zhang, Z.; Tang, Z. Recent progress in Ti-based nanocomposite anodes for lithium ion batteries. J. Adv. Ceram. 2019, 8, 1–18. [Google Scholar] [CrossRef] [Green Version]
  109. Li, X.; Liu, Y.; Zhang, X.; Yao, C.; Wang, R.; Xu, C.; Lei, J. Porous spheres of TiO2 (B)/anatase entwined by graphene nanoribbons for high Li+ rate performance. Electrochim. Acta 2019, 298, 14–21. [Google Scholar] [CrossRef]
  110. Bai, X.; Li, T.; Wei, C.; Sun, Y.K.; Qi, Y.X.; Zhu, H.L.; Lun, N.; Bai, Y.J. Enhancing the long-term cyclability and rate capability of Li4Ti5O12 by simple copper-modification. Electrochim. Acta 2015, 155, 132–139. [Google Scholar] [CrossRef]
  111. Aravindan, V.; Lee, Y.S.; Yazami, R.; Madhavi, S. TiO2 polymorphs in ‘rocking-chair’ Li-ion batteries. Mater. Today 2015, 18, 345–351. [Google Scholar] [CrossRef]
  112. Liu, G.; Wu, H.H.; Meng, Q.; Zhang, T.; Sun, D.; Jin, X.; Guo, D.; Wu, N.; Liu, X.; Kim, J.K. Role of the anatase/TiO2(B) heterointerface for ultrastable high-rate lithium and sodium energy storage performance. Nanoscale Horiz. 2020, 5, 150–162. [Google Scholar] [CrossRef]
  113. Wang, S.; Quan, W.; Zhu, Z.; Yang, Y.; Liu, Q.; Ren, Y.; Zhang, X.; Xu, R.; Hong, Y.; Zhang, Z.; et al. Lithium titanate hydrates with superfast and stable cycling in lithium ion batteries. Nat. Commun. 2017, 8, 627. [Google Scholar] [CrossRef] [Green Version]
  114. Xu, G.; Yang, L.; Wei, X.; Ding, J.; Zhong, J.; Chu, P.K. MoS2-quantum-dot-interspersed Li4TiO12 nanosheets with enhanced performance for Li- and Na-ion batteries. Adv. Funct. Mater. 2016, 26, 3349–3358. [Google Scholar] [CrossRef]
  115. Christensen, C.K.; Mamakhel, M.A.H.; Balakrishna, A.R.; Iversen, B.B.; Chiang, Y.M.; Ravnsbaek, D.B. Order-disorder transition in nano-rutile TiO2 anodes: A high capacity low-volume change Li-ion battery material. Nanoscale 2019, 11, 12347–12357. [Google Scholar] [CrossRef]
  116. Yang, J.; Wu, Q.; Yang, X.; He, S.; Khan, J.; Meng, Y.; Zhu, X.; Tong, S.; Wu, M. Chestnut-like TiO2@alpha-Fe2O3 core-shell nanostructures with abundant interfaces for efficient and ultralong life lithium-ion storage. ACS Appl. Mater. Interfaces 2017, 9, 354–361. [Google Scholar] [CrossRef] [PubMed]
  117. Han, J.T.; Huang, Y.H.; Goodenough, J.B. New anode framework for rechargeable lithium batteries. Chem. Mater. 2011, 23, 2027–2029. [Google Scholar] [CrossRef]
  118. Lu, X.; Jian, Z.; Fang, Z.; Gu, L.; Hu, Y.S.; Chen, W.; Wang, Z.; Chen, L. Atomic-scale investigation on lithium storage mechanism in TiNb2O7. Energy Environ. Sci. 2011, 4, 2638–2644. [Google Scholar] [CrossRef]
  119. Song, H.; Kim, Y.T. A Mo-doped TiNb2O7 anode for lithium-ion batteries with high rate capability due to charge redistribution. Chem. Commun. 2015, 51, 9849–9852. [Google Scholar] [CrossRef]
  120. Takami, N.; Ise, K.; Harada, Y.; Iwasaki, T.; Kishi, T.; Hoshina, K. High-energy, fast-charging, long-life lithium-ion batteries using TiNb2O7 anodes for automotive applications. J. Power Sources 2018, 396, 429–436. [Google Scholar] [CrossRef]
  121. Tang, K.; Mu, X.; van Aken, P.A.; Yu, Y.; Maier, J. “Nano-pearl-string” TiNb2O7 as anodes for rechargeable lithium batteries. Adv. Energy Mater. 2013, 3, 49–53. [Google Scholar] [CrossRef]
  122. Park, H.; Shin, D.H.; Song, T.; Park, W.I.; Paik, U. Synthesis of hierarchical porous TiNb2O7 nanotubes with controllable porosity and their application in high power Li-ion batteries. J. Mater. Chem. A 2017, 5, 6958–6965. [Google Scholar] [CrossRef]
  123. Guo, B.; Yu, X.; Sun, X.G.; Chi, M.; Qiao, Z.A.; Liu, J.; Hu, Y.S.; Yang, X.Q.; Goodenough, J.B.; Dai, S. A long-life lithium-ion battery with a highly porous TiNb2O7 anode for large-scale electrical energy storage. Energy Environ. Sci. 2014, 7, 2220–2226. [Google Scholar] [CrossRef]
  124. Jo, C.; Kim, Y.; Hwang, J.; Shim, J.; Chun, J.; Lee, J. Block copolymer directed ordered mesostructured TiNb2O7 multimetallic oxide constructed of nanocrystals as high power Li-ion battery anodes. Chem. Mater. 2014, 26, 3508–3514. [Google Scholar] [CrossRef]
  125. Amatucci, G.G. CoO2, The end member of the LixCoO2 solid solution. J. Electrochem. Soc. 1996, 143, 1114–1123. [Google Scholar] [CrossRef]
  126. Van der Ven, A.; Aydinol, M.K.; Ceder, G.; Kresse, G.; Hafner, J. First-principles investigation of phase stability in LixCoO2. Phys. Rev. B 1998, 58, 2975–2987. [Google Scholar] [CrossRef]
  127. Mizushima, K.; Jones, P.C.; Wiseman, P.J.; Goodenough, J.B. LixCoO2 (0 < x ≤ 1): A new cathode material for batteries of high energy density. Mater. Res. Bull. 1980, 15, 783–789. [Google Scholar]
  128. Hu, B.; Lou, X.; Li, C.; Geng, F.; Zhao, C.; Wang, J.; Shen, M.; Hu, B. Reversible phase transition enabled by binary Ba and Ti-based surface modification for high voltage LiCoO2 cathode. J. Power Sources 2019, 438, 226954. [Google Scholar] [CrossRef]
  129. Liu, Q.; Su, X.; Lei, D.; Qin, Y.; Wen, J.; Guo, F.; Wu, Y.A.; Rong, Y.; Kou, R.; Xiao, X.; et al. Approaching the capacity limit of lithium cobalt oxide in lithium ion batteries via lanthanum and aluminium doping. Nat. Energy 2018, 3, 936–943. [Google Scholar] [CrossRef]
  130. Uyama, T.; Mukai, K.; Yamada, I. High-pressure synthesis and electrochemical properties of tetragonal LiMnO2. RSC Adv. 2018, 8, 26325–26334. [Google Scholar] [CrossRef] [Green Version]
  131. Tian, M.; Gao, Y.; Wang, Z.; Chen, L. Understanding structural stability of monoclinic LiMnO2 and NaMnO2 upon de-intercalation. Phys. Chem. Chem. Phys. 2016, 18, 17345–17350. [Google Scholar] [CrossRef] [PubMed]
  132. Ammundsen, B.; Paulsen, J. Novel lithium-ion cathode materials based on layered manganese oxides. Adv. Mater. 2001, 13, 943–956. [Google Scholar] [CrossRef]
  133. Bhandari, A.; Bhattacharya, J. Review-Manganese dissolution from spinel cathode: Few unanswered questions. J. Electrochem. Soc. 2016, 164, A106–A127. [Google Scholar] [CrossRef]
  134. Zhan, C.; Wu, T.; Lu, J.; Amine, K. Dissolution, migration, and deposition of transition metal ions in Li-ion batteries exemplified by Mn-based cathodes–a critical review. Energy Environ. Sci. 2018, 11, 243–257. [Google Scholar] [CrossRef]
  135. Hirayama, M.; Ido, H.; Kim, K.; Cho, W.; Tamura, K.; Mizuki, J.; Kanno, R. Dynamic structural changes at LiMn2O4/electrolyte interface during lithium battery reaction. J. Am. Chem. Soc. 2010, 132, 15268–15276. [Google Scholar] [CrossRef]
  136. Tang, D.; Sun, Y.; Yang, Z.; Ben, L.; Gu, L.; Huang, X. Surface structure evolution of LiMn2O4 cathode material upon charge/discharge. Chem. Mater. 2014, 26, 3535–3543. [Google Scholar] [CrossRef]
  137. Cai, Z.; Ma, Y.; Huang, X.; Yan, X.; Yu, Z.; Zhang, S.; Song, G.; Xu, Y.; Wen, C.; Yang, W. High electrochemical stability Al-doped spinel LiMn2O4 cathode material for Li-ion batteries. J. Energy Storage 2020, 27, 101036. [Google Scholar] [CrossRef]
  138. Xu, J.; Le, T.; Yu, Z.; Yang, Y. Yttrium-doped LiMn2O4 spheres with long cycle life as lithium-ion battery cathode. J. Mater. Sci.: Mater. Electron. 2019, 30, 19450–19456. [Google Scholar] [CrossRef]
  139. Michalska, M.; Ziółkowska, D.A.; Jasiński, J.B.; Lee, P.H.; Ławniczak, P.; Andrzejewski, B.; Ostrowski, A.; Bednarski, W.; Wu, S.H.; Lin, J.Y. Improved electrochemical performance of LiMn2O4 cathode material by Ce doping. Electrochim. Acta 2018, 276, 37–46. [Google Scholar] [CrossRef]
  140. Li, W.; Siqin, G.W.; Zhu, Z.; Qi, L.; Tian, W.H. Electrochemical properties of niobium and phosphate doped spherical Li-rich spinel LiMn2O4 synthesized by ion implantation method. Chin. Chem. Lett. 2017, 28, 1438–1446. [Google Scholar] [CrossRef]
  141. Fey, G.T.K. LiNiVO4: A 4.8 volt electrode material for lithium cells. J. Electrochem. Soc. 1994, 141, 2279. [Google Scholar] [CrossRef]
  142. Prabaharan, S.R.S.; Michael, M.S.; Radhakrishna, S.; Julien, C. Novel low-temperature synthesis and characterization of LiNiVO4 for high-voltage Li ion batteries. J. Mater. Chem. 1997, 7, 1791–1796. [Google Scholar] [CrossRef]
  143. Julien, C.M.; Mauger, A.; Zaghib, K.; Liu, D. High voltage cathode materials. In Rechargeable Batteries: Materials, Technologies and New Trends; Zhang, Z., Zhang, S.S., Eds.; Springer International Publishing: Cham, Switzerland, 2015; pp. 477–509. [Google Scholar]
  144. Kazakopoulos, A.; Sarafidis, C.; Chrissafis, K.; Kalogirou, O. Synthesis and characterization of inverse spinel LiNiVO4 and LiCoVO4 with impedance spectroscopy. Solid State Ion. 2008, 179, 1980–1985. [Google Scholar] [CrossRef]
  145. Fey, G.T.K.; Huang, D.L. Synthesis, characterization and cell performance of inverse spinel electrode materials for lithium secondary batteries. Electrochim. Acta 1999, 45, 295–314. [Google Scholar] [CrossRef]
  146. Thongtem, T.; Kaowphong, S.; Thongtem, S. Malic acid complex method for preparation of LiNiVO4 nano-crystallites. J. Mater. Sci. 2007, 42, 3923–3927. [Google Scholar] [CrossRef]
  147. Thongtem, T.; Kaowphong, S.; Thongtem, S. Preparation of LiNiVO4 nano-powder using tartaric acid as a complexing agent. Ceram. Int. 2007, 33, 1449–1453. [Google Scholar] [CrossRef]
  148. Prakash, D.; Masuda, Y.; Sanjeeviraja, C. Synthesis and structure refinement studies of LiNiVO4 electrode material for lithium rechargeable batteries. Ionics 2013, 19, 17–23. [Google Scholar] [CrossRef]
  149. Liu, R.S.; Cheng, Y.C.; Gundakaram, R.; Jang, L.Y. Crystal and electronic structures of inverse spinel-type LiNiVO4. Mater. Res. Bull. 2001, 36, 1479–1486. [Google Scholar] [CrossRef]
  150. Qin, M.L.; Liu, W.M.; Liang, S.Q.; Pan, A.Q. Facile synthesis of porous LiNiVO4 powder as high-voltage cathode material for lithium-ion batteries. Trans. Nonferrous Met. Soc. 2016, 26, 3232–3237. [Google Scholar] [CrossRef]
  151. Chen, Z.; Li, J.; Zhang, Z. First principles investigation of electronic structure change and energy transfer by redox in inverse spinel cathodes LiNiVO4 and LiCoVO4. J. Mater. Chem. 2012, 22, 18968–18974. [Google Scholar] [CrossRef]
  152. Ohzuku, T.; Makimura, Y. Layered lithium insertion material of LiCo1/3Ni1/3Mn1/3O2 for lithium-ion batteries. Chem. Lett. 2001, 30, 642–643. [Google Scholar] [CrossRef]
  153. Hwang, B.J.; Tsai, Y.W.; Carlier, D.; Ceder, G. A combined computational/experimental study on LiNi1/3Co1/3Mn1/3O2. Chem. Mater. 2003, 15, 3676–3682. [Google Scholar] [CrossRef]
  154. Koyama, Y.; Tanaka, I.; Adachi, H.; Makimura, Y.; Ohzuku, T. Crystal and electronic structures of superstructural Li1−x[Co1/3Ni1/3Mn1/3]O2 (0 ≤ x ≤ 1). J. Power Sources 2003, 119-121, 644–648. [Google Scholar] [CrossRef]
  155. Xu, J.; Lin, F.; Doeff, M.M.; Tong, W. A review of Ni-based layered oxides for rechargeable Li-ion batteries. J. Mater. Chem. A 2017, 5, 874–901. [Google Scholar] [CrossRef] [Green Version]
  156. Jung, S.K.; Gwon, H.; Hong, J.; Park, K.Y.; Seo, D.H.; Kim, H.; Hyun, J.; Yang, W.; Kang, K. Understanding the degradation mechanisms of LiNi0.5Co0.2Mn0.3O2 cathode material in lithium ion batteries. Adv. Energy Mater. 2014, 4, 1300787. [Google Scholar] [CrossRef]
  157. Weigel, T.; Schipper, F.; Erickson, E.M.; Susai, F.A.; Markovsky, B.; Aurbach, D. Structural and electrochemical aspects of LiNi0.8Co0.1Mn0.1O2 cathode materials doped by various cations. ACS Energy Lett. 2019, 4, 508–516. [Google Scholar] [CrossRef]
  158. Fan, X.; Hu, G.; Zhang, B.; Ou, X.; Zhang, J.; Zhao, W.; Jia, H.; Zou, L.; Li, P.; Yang, Y. Crack-free single-crystalline Ni-rich layered NCM cathode enable superior cycling performance of lithium-ion batteries. Nano Energy 2020, 70, 104450. [Google Scholar] [CrossRef]
  159. Cheng, X.; Liu, M.; Yin, J.; Ma, C.; Dai, Y.; Wang, D.; Mi, S.; Qiang, W.; Huang, B.; Chen, Y. Regulating surface and grain-boundary structures of Ni-rich layered cathodes for ultrahigh cycle stability. Small 2020, 16, e1906433. [Google Scholar] [CrossRef]
  160. Fan, X.; Chen, L.; Borodin, O.; Ji, X.; Chen, J.; Hou, S.; Deng, T.; Zheng, J.; Yang, C.; Liou, S.C.; et al. Non-flammable electrolyte enables Li-metal batteries with aggressive cathode chemistries. Nat. Nanotechnol. 2018, 13, 715–722. [Google Scholar] [CrossRef] [PubMed]
  161. Deng, B.; Li, J.; Shang, H.; Liu, W.; Wan, Q.; Chen, M.; Qu, M.; Peng, G. Improving cyclic stability of LiNi0.6Co0.2Mn0.2O2-SiOx/graphite full cell using tris (trimethylsilyl) phosphite and fluoroethylene carbonate as combinative electrolyte additive. Ionics 2020, 26, 2247–2257. [Google Scholar] [CrossRef]
  162. Dahn, J.R.; Xia, J.; Wang, Y.; Petibon, R.; Ma, L.; Nelson, K.; Downie, L.E. Electrolyte Additives for Lithium Ion Batteries. U.S. Patent 20,170,025,706, 26 January 2017. [Google Scholar]
  163. Dahn, J.R.; Hynes, T.; Hall, D.S. Dioxazolones and Nitrile Sulfites as Electrolyte Additives for Lithium-Ion Batteries. U.S. Patent 20,190,393,546, 26 December 2019. [Google Scholar]
  164. Lv, Y.; Cheng, X.; Qiang, W.; Huang, B. Improved electrochemical performances of Ni-rich LiNi0.83Co0.12Mn0.05O2 by Mg-doping. J. Power Sources 2020, 450, 227718. [Google Scholar] [CrossRef]
  165. Wu, L.; Tang, X.; Chen, X.; Rong, Z.; Dang, W.; Wang, Y.; Li, X.; Huang, L.; Zhang, Y. Improvement of electrochemical reversibility of the Ni-rich cathode material by gallium doping. J. Power Sources 2020, 445, 227337. [Google Scholar] [CrossRef]
  166. Zhang, D.; Liu, Y.; Wu, L.; Feng, L.; Jin, S.; Zhang, R.; Jin, M. Effect of Ti ion doping on electrochemical performance of Ni-rich LiNi0.8Co0.1Mn0.1O2 cathode material. Electrochim. Acta 2019, 328, 135086. [Google Scholar] [CrossRef]
  167. Zhao, Z.; Huang, B.; Wang, M.; Yang, X.; Gu, Y. Facile synthesis of fluorine doped single crystal Ni-rich cathode material for lithium-ion batteries. Solid State Ion. 2019, 342, 115065. [Google Scholar] [CrossRef]
  168. Wang, R.; Zhang, T.; Zhang, Q.; Zheng, M.; Xu, K.; Yan, W. Enhanced electrochemical performance of La and F co-modified Ni-rich cathode. Ionics 2019, 26, 1165–1171. [Google Scholar] [CrossRef]
  169. Zhang, N.; Sun, Y.; Zhao, L.; Wu, J.; Dai, C.; Li, Y.; Wang, X.; Ding, F. Improving the electrochemical performance of lithium-rich cathode materials Li1.2Mn0.54Ni0.13Co0.13O2 by a method of tungsten doping. Ionics 2019, 25, 5239–5247. [Google Scholar] [CrossRef]
  170. Hashigami, S.; Kato, Y.; Yoshimi, K.; Fukumoto, A.; Cao, Z.; Yoshida, H.; Inagaki, T.; Hashinokuchi, M.; Haruta, M.; Doi, T.; et al. Effect of lithium silicate addition on the microstructure and crack formation of LiNi0.8Co0.1Mn0.1O2 cathode particles. ACS Appl. Mater. Interfaces 2019, 11, 39910–39920. [Google Scholar] [CrossRef]
  171. Feng, Z.; Rajagopalan, R.; Sun, D.; Tang, Y.; Wang, H. In-situ formation of hybrid Li3PO4-AlPO4-Al(PO3)3 coating layer on LiNi0.8Co0.1Mn0.1O2 cathode with enhanced electrochemical properties for lithium-ion battery. Chem. Eng. J. 2020, 382, 122959. [Google Scholar] [CrossRef]
  172. Liao, Y.; Li, J.; Deng, B.; Wang, H.; Chen, T.; Li, X.; Qu, M.; Li, X.; Peng, G. Surface modification of Li1.144Ni0.136Co0.136Mn0.544O2 by hybrid protection layer with enhanced rate capability. Energy Technol. 2020, 8, 1901133. [Google Scholar] [CrossRef]
  173. Xiao, Z.; Chi, Z.; Song, L.; Cao, Z.; Li, A. LiTa2PO8 coated nickel-rich cathode material for improved electrochemical performance at high voltage. Ceram. Int. 2020, 46, 8328–8333. [Google Scholar] [CrossRef]
  174. Arbi, K.; Bucheli, W.; Jiménez, R.; Sanz, J. High lithium ion conducting solid electrolytes based on NASICON Li1+xAlxM2−x(PO4)3 materials (M = Ti, Ge and 0 ≤ x ≤ 0.5). J. Eur. Ceram. Soc. 2015, 35, 1477–1484. [Google Scholar] [CrossRef]
  175. Thokchom, J.S.; Kumar, B. The effects of crystallization parameters on the ionic conductivity of a lithium aluminum germanium phosphate glass–ceramic. J. Power Sources 2010, 195, 2870–2876. [Google Scholar] [CrossRef]
  176. Kuwano, J.; West, A.R. New Li+ ion conductors in the system, Li4GeO4-Li3VO4. Mater. Res. Bull. 1980, 15, 1661–1667. [Google Scholar] [CrossRef]
  177. Song, S.; Lu, J.; Zheng, F.; Duong, H.M.; Lu, L. A facile strategy to achieve high conduction and excellent chemical stability of lithium solid electrolytes. RSC Adv. 2015, 5, 6588–6594. [Google Scholar] [CrossRef]
  178. Kanno, R.; Murayama, M. Lithium ionic conductor thio-LISICON: The Li2S-GeS2-P2S5 system. J. Electrochem. Soc. 2001, 148, A742–A746. [Google Scholar] [CrossRef]
  179. Bates, J.; Dudney, N.; Gruzalski, G.; Zuhr, R.; Choudhury, A.; Luck, C.; Robertson, J. Electrical properties of amorphous lithium electrolyte thin films. Solid State Ion. 1992, 53, 647–654. [Google Scholar] [CrossRef]
  180. Fleutot, B.; Pecquenard, B.; Martinez, H.; Letellier, M.; Levasseur, A. Investigation of the local structure of LiPON thin films to better understand the role of nitrogen on their performance. Solid State Ion. 2011, 186, 29–36. [Google Scholar] [CrossRef]
  181. Su, Y.; Falgenhauer, J.; Polity, A.; Leichtweiß, T.; Kronenberger, A.; Obel, J.; Zhou, S.; Schlettwein, D.; Janek, J.; Meyer, B.K. LiPON thin films with high nitrogen content for application in lithium batteries and electrochromic devices prepared by RF magnetron sputtering. Solid State Ion. 2015, 282, 63–69. [Google Scholar] [CrossRef]
  182. Inaguma, Y.; Liquan, C.; Itoh, M.; Nakamura, T.; Uchida, T.; Ikuta, H.; Wakihara, M. High ionic conductivity in lithium lanthanum titanate. Solid State Commun. 1993, 86, 689–693. [Google Scholar] [CrossRef]
  183. Lu, J.; Li, Y.; Ding, Y. Structure, stability, and ionic conductivity of perovskite Li2x-ySr1-x-yLayTiO3 solid electrolytes. Ceram. Int. 2020, 46, 7741–7747. [Google Scholar] [CrossRef]
  184. Hu, Z.; Sheng, J.; Chen, J.; Sheng, G.; Li, Y.; Fu, X.Z.; Wang, L.; Sun, R.; Wong, C.P. Enhanced Li ion conductivity in Ge-doped Li0.33La0.56TiO3 perovskite solid electrolytes for all-solid-state Li-ion batteries. New J. Chem. 2018, 42, 9074–9079. [Google Scholar] [CrossRef]
  185. Chen, C. Stable lithium-ion conducting perovskite lithium-strontium-tantalum-zirconium-oxide system. Solid State Ion. 2004, 167, 263–272. [Google Scholar] [CrossRef]
  186. Inada, R.; Kimura, K.; Kusakabe, K.; Tojo, T.; Sakurai, Y. Synthesis and lithium-ion conductivity for perovskite-type Li3/8Sr7/16Ta3/4Zr1/4O3 solid electrolyte by powder-bed sintering. Solid State Ion. 2014, 261, 95–99. [Google Scholar] [CrossRef]
  187. Huang, B.; Xu, B.; Li, Y.; Zhou, W.; You, Y.; Zhong, S.; Wang, C.A.; Goodenough, J.B. Li-ion conduction and stability of perovskite Li3/8Sr7/16Hf1/4Ta3/4O3. ACS Appl. Mater. Interfaces 2016, 8, 14552–14557. [Google Scholar] [CrossRef]
  188. Huang, B.; Zhong, S.; Luo, J.; Huang, Z.; Wang, C.A. Highly dense perovskite electrolyte with a high Li+ conductivity for Li-ion batteries. J. Power Sources 2019, 429, 75–79. [Google Scholar] [CrossRef]
  189. Cheng, L.; Wu, C.H.; Jarry, A.; Chen, W.; Ye, Y.; Zhu, J.; Kostecki, R.; Persson, K.; Guo, J.; Salmeron, M.; et al. Interrelationships among grain size, surface composition, air stability, and interfacial resistance of Al-substituted Li7La3Zr2O12 solid electrolytes. ACS Appl. Mater. Interfaces 2015, 7, 17649–17655. [Google Scholar] [CrossRef] [PubMed]
  190. Thangadurai, V.; Kaack, H.; Weppner, W.J.F. Novel fast lithium ion conduction in garnet-type Li5La3M2O12 (M = Nb, Ta). J. Am. Ceram. Soc. 2003, 86, 437–440. [Google Scholar] [CrossRef]
  191. Allen, J.L.; Wolfenstine, J.; Rangasamy, E.; Sakamoto, J. Effect of substitution (Ta, Al, Ga) on the conductivity of Li7La3Zr2O12. J. Power Sources 2012, 206, 315–319. [Google Scholar] [CrossRef]
  192. Bernuy-Lopez, C.; Manalastas, W.; Lopez del Amo, J.M.; Aguadero, A.; Aguesse, F.; Kilner, J.A. Atmosphere controlled processing of Ga-substituted garnets for high Li-ion conductivity ceramics. Chem. Mat. 2014, 26, 3610–3617. [Google Scholar] [CrossRef]
  193. Zhao, Y.; Daemen, L.L. Superionic conductivity in lithium-rich anti-perovskites. J. Am. Chem. Soc. 2012, 134, 15042–15047. [Google Scholar] [CrossRef] [PubMed]
  194. Li, Y.; Zhou, W.; Xin, S.; Li, S.; Zhu, J.; Lu, X.; Cui, Z.; Jia, Q.; Zhou, J.; Zhao, Y.; et al. Fluorine-doped antiperovskite electrolyte for all-solid-state lithium-ion batteries. Angew. Chem. Int. Ed. Engl. 2016, 55, 9965–9968. [Google Scholar] [CrossRef]
  195. Rao, R.P.; Adams, S. Studies of lithium argyrodite solid electrolytes for all-solid-state batteries. Phys. Status Solidi (a) 2011, 208, 1804–1807. [Google Scholar] [CrossRef]
  196. Schneider, H.; Du, H.; Kelley, T.; Leitner, K.; ter Maat, J.; Scordilis-Kelley, C.; Sanchez-Carrera, R.; Kovalev, I.; Mudalige, A.; Kulisch, J.; et al. A novel class of halogen-free, super-conductive lithium argyrodites: Synthesis and characterization. J. Power Sources 2017, 366, 151–160. [Google Scholar] [CrossRef]
  197. Wang, P.; Liu, H.; Patel, S.; Feng, X.; Chien, P.H.; Wang, Y.; Hu, Y.Y. Fast ion conduction and its origin in Li6–xPS5–xBr1+x. Chem. Mater. 2020, 32, 3833–3840. [Google Scholar] [CrossRef]
  198. Jung, W.D.; Kim, J.S.; Choi, S.; Kim, S.; Jeon, M.; Jung, H.G.; Chung, K.Y.; Lee, J.H.; Kim, B.K.; Lee, J.H.; et al. Superionic halogen-rich Li-argyrodites using in situ nanocrystal nucleation and rapid crystal growth. Nano Lett. 2020, 20, 2303–2309. [Google Scholar] [CrossRef]
  199. Hagman, L.O.; Kierkegaard, P.; Karvonen, P. The crystal structure of NaMe2IV(PO4)3; MeIV = Ge, Ti, Zr. Acta Chem. Scand. 1968, 22, 1822–1832. [Google Scholar] [CrossRef]
  200. Anantharamulu, N.; Koteswara Rao, K.; Rambabu, G.; Vijaya Kumar, B.; Radha, V.; Vithal, M. A wide-ranging review on NASICON type materials. J. Mater. Sci. 2011, 46, 2821–2837. [Google Scholar] [CrossRef]
  201. Safanama, D.; Adams, S. High efficiency aqueous and hybrid lithium-air batteries enabled by Li1.5Al0.5Ge1.5(PO4)3 ceramic anode-protecting membranes. J. Power Sources 2017, 340, 294–301. [Google Scholar] [CrossRef]
  202. Liu, Y.; Li, C.; Li, B.; Song, H.; Cheng, Z.; Chen, M.; He, P.; Zhou, H. Germanium thin film protected lithium aluminum germanium phosphate for solid-state Li batteries. Adv. Energy Mater. 2018, 8, 1702374. [Google Scholar] [CrossRef]
  203. Hong, H.P. Crystal structure and ionic conductivity of Li14Zn(GeO4)4 and other new Li+ superionic conductors. Mater. Res. Bull. 1978, 13, 117–124. [Google Scholar] [CrossRef]
  204. Knauth, P. Inorganic solid Li ion conductors: An overview. Solid State Ion. 2009, 180, 911–916. [Google Scholar] [CrossRef]
  205. Tintignac, S.; Baddour-Hadjean, R.; Pereira-Ramos, J.P.; Salot, R. High rate bias sputtered LiCoO2 thin films as positive electrode for all-solid-state lithium microbatteries. Electrochim. Acta 2014, 146, 472–476. [Google Scholar] [CrossRef]
  206. Eftekhari, A. Fabrication of 5 V lithium rechargeable micro-battery. J. Power Sources 2004, 132, 240–243. [Google Scholar] [CrossRef]
  207. Lethien, C.; Zegaoui, M.; Roussel, P.; Tilmant, P.; Rolland, N.; Rolland, P.A. Micro-patterning of LiPON and lithium iron phosphate material deposited onto silicon nanopillars array for lithium ion solid state 3D micro-battery. Microelectron. Eng. 2011, 88, 3172–3177. [Google Scholar] [CrossRef]
  208. Alonso, J.A.; Sanz, J.; Santamaría, J.; León, C.; Várez, A.; Fernández-Díaz, M.T. On the location of Li+ cations in the fast Li-cation conductor La0.5Li0.5TiO3 perovskite. Angew. Chem. Int. Ed. 2000, 39, 619–621. [Google Scholar] [CrossRef]
  209. Jay, E.E.; Rushton, M.J.; Chroneos, A.; Grimes, R.W.; Kilner, J.A. Genetics of superionic conductivity in lithium lanthanum titanates. Phys. Chem. Chem. Phys. 2015, 17, 178–183. [Google Scholar] [CrossRef] [PubMed]
  210. Bohnke, O. Mechanism of ionic conduction and electrochemical intercalation of lithium into the perovskite lanthanum lithium titanate. Solid State Ion. 1996, 91, 21–31. [Google Scholar] [CrossRef]
  211. Birke, P. Electrolytic stability limit and rapid lithium insertion in the fast-ion-conducting Li0.29La0.57TiO3 perovskite-type compound. J. Electrochem. Soc. 1997, 144, L167–L169. [Google Scholar] [CrossRef]
  212. Chen, C. Ionic conductivity, lithium insertion and extraction of lanthanum lithium titanate. Solid State Ion. 2001, 144, 51–57. [Google Scholar] [CrossRef]
  213. Yu, R.; Du, Q.X.; Zou, B.K.; Wen, Z.Y.; Chen, C.H. Synthesis and characterization of perovskite-type (Li,Sr)(Zr,Nb)O3 quaternary solid electrolyte for all-solid-state batteries. J. Power Sources 2016, 306, 623–629. [Google Scholar] [CrossRef]
  214. Jalem, R.; Nakayama, M.; Manalastas, W.; Kilner, J.A.; Grimes, R.W.; Kasuga, T.; Kanamura, K. Insights into the lithium-ion conduction mechanism of garnet-type cubic Li5La3Ta2O12 by ab-initio calculations. J. Phys. Chem. C 2015, 119, 20783–20791. [Google Scholar] [CrossRef]
  215. Awaka, J.; Kijima, N.; Hayakawa, H.; Akimoto, J. Synthesis and structure analysis of tetragonal Li7La3Zr2O12 with the garnet-related type structure. J. Solid State Chem. 2009, 182, 2046–2052. [Google Scholar] [CrossRef]
  216. Awaka, J.; Takashima, A.; Kataoka, K.; Kijima, N.; Idemoto, Y.; Akimoto, J. Crystal structure of fast lithium-ion-conducting cubic Li7La3Zr2O12. Chem. Lett. 2011, 40, 60–62. [Google Scholar] [CrossRef]
  217. Li, Y.; Han, J.T.; Wang, C.A.; Xie, H.; Goodenough, J.B. Optimizing Li+ conductivity in a garnet framework. J. Mater. Chem. 2012, 22, 15357–15361. [Google Scholar] [CrossRef]
  218. Kang, S.G.; Sholl, D.S. First-principles study of chemical stability of the lithium oxide garnets Li7La3M2O12 (M = Zr, Sn, or Hf). J. Phys. Chem. C 2014, 118, 17402–17406. [Google Scholar] [CrossRef]
  219. Xia, W.; Xu, B.; Duan, H.; Tang, X.; Guo, Y.; Kang, H.; Li, H.; Liu, H. Reaction mechanisms of lithium garnet pellets in ambient air: The effect of humidity and CO2. J. Am. Ceram. Soc. 2017, 100, 2832–2839. [Google Scholar] [CrossRef]
  220. Orera, A.; Larraz, G.; Rodriguez-Velamazan, J.A.; Campo, J.; Sanjuan, M.L. Influence of Li+ and H+ distribution on the crystal structure of Li7-xHxLa3Zr2O12 (0 ≤ x ≤ 5) garnets. Inorg. Chem. 2016, 55, 1324–1332. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  221. Larraz, G.; Orera, A.; Sanjuán, M.L. Cubic phases of garnet-type Li7La3Zr2O12: The role of hydration. J. Mater. Chem. A 2013, 1, 11419. [Google Scholar] [CrossRef] [Green Version]
  222. Nemori, H.; Matsuda, Y.; Mitsuoka, S.; Matsui, M.; Yamamoto, O.; Takeda, Y.; Imanishi, N. Stability of garnet-type solid electrolyte LixLa3A2-yByO12 (A = Nb or Ta, B = Sc or Zr). Solid State Ion. 2015, 282, 7–12. [Google Scholar] [CrossRef]
  223. Thangadurai, V.; Weppner, W. Investigations on electrical conductivity and chemical compatibility between fast lithium ion conducting garnet-like Li6BaLa2Ta2O12 and lithium battery cathodes. J. Power Sources 2005, 142, 339–344. [Google Scholar] [CrossRef]
  224. Miara, L.J.; Richards, W.D.; Wang, Y.E.; Ceder, G. First-principles studies on cation dopants and electrolyte|cathode interphases for lithium garnets. Chem. Mater. 2015, 27, 4040–4047. [Google Scholar] [CrossRef]
  225. Deiseroth, H.J.; Kong, S.T.; Eckert, H.; Vannahme, J.; Reiner, C.; Zaiß, T.; Schlosser, M. Li6PS5X: A class of crystalline Li-rich solids with an unusually high Li+ mobility. Angew. Chem. Int. Ed. 2008, 47, 755–758. [Google Scholar] [CrossRef]
  226. Lee, Y.G.; Fujiki, S.; Jung, C.; Suzuki, N.; Yashiro, N.; Omoda, R.; Ko, D.S.; Shiratsuchi, T.; Sugimoto, T.; Ryu, S.; et al. High-energy long-cycling all-solid-state lithium metal batteries enabled by silver-carbon composite anodes. Nat. Energy 2020, 5, 299–308. [Google Scholar] [CrossRef]
  227. Luntz, A.C.; Voss, J.; Reuter, K. Interfacial challenges in solid-state Li ion batteries. J. Phys. Chem. Lett. 2015, 6, 4599–4604. [Google Scholar] [CrossRef] [Green Version]
  228. Takada, K.; Ohta, N.; Zhang, L.; Fukuda, K.; Sakaguchi, I.; Ma, R.; Osada, M.; Sasaki, T. Interfacial modification for high-power solid-state lithium batteries. Solid State Ion. 2008, 179, 1333–1337. [Google Scholar] [CrossRef]
  229. Hartmann, P.; Leichtweiss, T.; Busche, M.R.; Schneider, M.; Reich, M.; Sann, J.; Adelhelm, P.; Janek, J. Degradation of NASICON-type materials in contact with lithium metal: Formation of mixed conducting interphases (MCI) on solid electrolytes. J. Phys. Chem. C. 2013, 117, 21064–21074. [Google Scholar] [CrossRef]
  230. Pan, Q.; Barbash, D.; Smith, D.M.; Qi, H.; Gleeson, S.E.; Li, C.Y. Correlating electrode-electrolyte interface and battery performance in hybrid solid polymer electrolyte-based lithium metal batteries. Adv. Energy Mater. 2017, 7, 1701231. [Google Scholar] [CrossRef]
  231. Wu, B.; Wang, S.; Evans Iv, W.J.; Deng, D.Z.; Yang, J.; Xiao, J. Interfacial behaviours between lithium ion conductors and electrode materials in various battery systems. J. Mater. Chem. A 2016, 4, 15266–15280. [Google Scholar] [CrossRef] [Green Version]
  232. Kitaura, H.; Hayashi, A.; Ohtomo, T.; Hama, S.; Tatsumisago, M. Fabrication of electrode-electrolyte interfaces in all-solid-state rechargeable lithium batteries by using a supercooled liquid state of the glassy electrolytes. J. Mater. Chem. 2011, 21, 118–124. [Google Scholar] [CrossRef]
  233. Zhang, W.; Schröder, D.; Arlt, T.; Manke, I.; Koerver, R.; Pinedo, R.; Weber, D.A.; Sann, J.; Zeier, W.G.; Janek, J. (Electro) chemical expansion during cycling: Monitoring the pressure changes in operating solid-state lithium batteries. J. Mater. Chem. A 2017, 5, 9929–9936. [Google Scholar] [CrossRef]
  234. Koerver, R.; Zhang, W.; de Biasi, L.; Schweidler, S.; Kondrakov, A.O.; Kolling, S.; Brezesinski, T.; Hartmann, P.; Zeier, W.G.; Janek, J. Chemo-mechanical expansion of lithium electrode materials–on the route to mechanically optimized all-solid-state batteries. Energy Environ. Sci. 2018, 11, 2142–2158. [Google Scholar] [CrossRef]
  235. Koerver, R.; Aygün, I.; Leichtweiß, T.; Dietrich, C.; Zhang, W.; Binder, J.O.; Hartmann, P.; Zeier, W.G.; Janek, J. Capacity fade in solid-state batteries: Interphase formation and chemomechanical processes in nickel-rich layered oxide cathodes and ithium thiophosphate solid electrolytes. Chem. Mater. 2017, 29, 5574–5582. [Google Scholar] [CrossRef]
  236. Bucci, G.; Talamini, B.; Renuka Balakrishna, A.; Chiang, Y.M.; Carter, W.C. Mechanical instability of electrode-electrolyte interfaces in solid-state batteries. Phys. Rev. Mater. 2018, 2, 105407. [Google Scholar] [CrossRef]
  237. Sakuda, A.; Kitaura, H.; Hayashi, A.; Tadanaga, K.; Tatsumisago, M. Improvement of high-rate performance of all-solid-state lithium secondary batteries using LiCoO2 coated with Li2O-SiO2 glasses. Electrochem. Solid-State Lett. 2008, 11, A1. [Google Scholar] [CrossRef]
  238. Ohta, S.; Komagata, S.; Seki, J.; Saeki, T.; Morishita, S.; Asaoka, T. All-solid-state lithium ion battery using garnet-type oxide and Li3BO3 solid electrolytes fabricated by screen-printing. J. Power Sources 2013, 238, 53–56. [Google Scholar] [CrossRef]
  239. Ohta, S.; Seki, J.; Yagi, Y.; Kihira, Y.; Tani, T.; Asaoka, T. Co-sinterable lithium garnet-type oxide electrolyte with cathode for all-solid-state lithium ion battery. J. Power Sources 2014, 265, 40–44. [Google Scholar] [CrossRef]
  240. Zhang, W.; Richter, F.H.; Culver, S.P.; Leichtweiss, T.; Lozano, J.G.; Dietrich, C.; Bruce, P.G.; Zeier, W.G.; Janek, J. Degradation mechanisms at the Li10GeP2S12/LiCoO2 cathode interface in an all-solid-state lithium-ion battery. ACS Appl. Mater. Interfaces 2018, 10, 22226–22236. [Google Scholar] [CrossRef]
  241. Morimoto, H.; Awano, H.; Terashima, J.; Shindo, Y.; Nakanishi, S.; Ito, N.; Ishikawa, K.; Tobishima, S.I. Preparation of lithium ion conducting solid electrolyte of NASICON-type Li1+xAlxTi2−x(PO4)3 (x = 0.3) obtained by using the mechanochemical method and its application as surface modification materials of LiCoO2 cathode for lithium cell. J. Power Sources 2013, 240, 636–643. [Google Scholar] [CrossRef]
  242. Richards, W.D.; Miara, L.J.; Wang, Y.; Kim, J.C.; Ceder, G. Interface stability in solid-state batteries. Chem. Mater. 2016, 28, 266–273. [Google Scholar] [CrossRef]
  243. Takada, K.; Ohta, N.; Tateyama, Y. Recent Progress in interfacial nanoarchitectonics in solid-state batteries. J. Inorg. Organomet. Polym. Mater. 2015, 25, 205–213. [Google Scholar] [CrossRef]
  244. Miara, L.; Windmüller, A.; Tsai, C.L.; Richards, W.D.; Ma, Q.; Uhlenbruck, S.; Guillon, O.; Ceder, G. About the compatibility between high voltage spinel cathode materials and solid oxide electrolytes as a function of temperature. ACS Appl. Mater. Interfaces 2016, 8, 26842–26850. [Google Scholar] [CrossRef] [Green Version]
  245. Yamamoto, K.; Iriyama, Y.; Asaka, T.; Hirayama, T.; Fujita, H.; Fisher, C.A.J.; Nonaka, K.; Sugita, Y.; Ogumi, Z. Dynamic visualization of the electric potential in an all-solid-state rechargeable lithium battery. Angew. Chem. 2010, 49, 4414–4417. [Google Scholar] [CrossRef]
  246. Masuda, H.; Ishida, N.; Ogata, Y.; Ito, D.; Fujita, D. Internal potential mapping of charged solid-state-lithium ion batteries using in situ Kelvin probe force microscopy. Nanoscale 2017, 9, 893–898. [Google Scholar] [CrossRef] [Green Version]
  247. Haruta, M.; Shiraki, S.; Suzuki, T.; Kumatani, A.; Ohsawa, T.; Takagi, Y.; Shimizu, R.; Hitosugi, T. Negligible “negative space-charge layer effects” at oxide-electrolyte/electrode interfaces of thin-film batteries. Nano Lett. 2015, 15, 1498–1502. [Google Scholar] [CrossRef]
  248. De Klerk, N.J.J.; Wagemaker, M. Space-charge layers in all-solid-state batteries; important or negligible? ACS Appl. Energy Mater. 2018, 1, 5609–5618. [Google Scholar] [CrossRef] [Green Version]
  249. Okumura, T.; Nakatsutsumi, T.; Ina, T.; Orikasa, Y.; Arai, H.; Fukutsuka, T.; Iriyama, Y.; Uruga, T.; Tanida, H.; Uchimoto, Y.; et al. Depth-resolved X-ray absorption spectroscopic study on nanoscale observation of the electrode-solid electrolyte interface for all solid state lithium ion batteries. J. Mater. Chem. 2011, 21, 10051–10060. [Google Scholar] [CrossRef]
  250. Wenzel, S.; Leichtweiss, T.; Krüger, D.; Sann, J.; Janek, J. Interphase formation on lithium solid electrolytes–an in situ approach to study interfacial reactions by photoelectron spectroscopy. Solid State Ion. 2015, 278, 98–105. [Google Scholar] [CrossRef]
  251. Bron, P.; Roling, B.; Dehnen, S. Impedance characterization reveals mixed conducting interphases between sulfidic superionic conductors and lithium metal electrodes. J. Power Sources 2017, 352, 127–134. [Google Scholar] [CrossRef]
  252. Mizuno, F.; Yada, C.; Iba, H. Solid-state lithium-ion batteries for electric vehicles. In Lithium-Ion Batteries, 1st ed.; Pistoia, G., Ed.; Elsevier: Amsterdam, The Netherlands, 2014; pp. 273–291. [Google Scholar]
  253. Park, K.; Yu, B.C.; Jung, J.W.; Li, Y.; Zhou, W.; Gao, H.; Son, S.; Goodenough, J.B. Electrochemical nature of the cathode interface for a solid-state lithium-ion battery: Interface between LiCoO2 and garnet-Li7La3Zr2O12. Chem. Mater. 2016, 28, 8051–8059. [Google Scholar] [CrossRef]
  254. Wenzel, S.; Randau, S.; Leichtweiß, T.; Weber, D.A.; Sann, J.; Zeier, W.G.; Janek, J. Direct observation of the interfacial instability of the fast ionic conductor Li10GeP2S12 at the lithium metal anode. Chem. Mater. 2016, 28, 2400–2407. [Google Scholar] [CrossRef]
  255. Han, X.; Gong, Y.; Fu, K.; He, X.; Hitz, G.T.; Dai, J.; Pearse, A.; Liu, B.; Wang, H.; Rubloff, G.; et al. Negating interfacial impedance in garnet-based solid-state Li metal batteries. Nat. Mater. 2017, 16, 572–579. [Google Scholar] [CrossRef] [PubMed]
  256. Ohta, N.; Takada, K.; Zhang, L.; Ma, R.; Osada, M.; Sasaki, T. Enhancement of the high-rate capability of solid-state lithium batteries by nanoscale interfacial modification. Adv. Mater. 2006, 18, 2226–2229. [Google Scholar] [CrossRef]
  257. Malligavathy, M.; Ananth Kumar, R.T.; Das, C.; Asokan, S.; Pathinettam Padiyan, D. Growth and characteristics of amorphous Sb2Se3 thin films of various thicknesses for memory switching applications. J. Non-Cryst. Solids 2015, 429, 93–97. [Google Scholar] [CrossRef]
  258. Xing, Y.J.; Xi, Z.H.; Zhang, X.D.; Song, J.H.; Wang, R.M.; Xu, J.; Xue, Z.Q.; Yu, D.P. Thermal evaporation synthesis of zinc oxide nanowires. Appl. Phys. A 2005, 80, 1527–1530. [Google Scholar] [CrossRef]
  259. Wang, S.; Li, X.; Wu, J.; Wen, W.; Qi, Y. Fabrication of efficient metal halide perovskite solar cells by vacuum thermal evaporation: A progress review. Curr. Opin. Electrochem. 2018, 11, 130–140. [Google Scholar] [CrossRef]
  260. Quartarone, E.; Dall′Asta, V.; Resmini, A.; Tealdi, C.; Tredici, I.G.; Tamburini, U.A.; Mustarelli, P. Graphite-coated ZnO nanosheets as high-capacity, highly stable, and binder-free anodes for lithium-ion batteries. J. Power Sources 2016, 320, 314–321. [Google Scholar] [CrossRef]
  261. Ying, Z.; Wan, Q.; Cao, H.; Song, Z.T.; Feng, S.L. Characterization of SnO2 nanowires as an anode material for Li-ion batteries. Appl. Phys. Lett. 2005, 87, 113108. [Google Scholar] [CrossRef]
  262. Mattox, D.M. Chapter 6–vacuum evaporation and vacuum deposition. In Handbook of Physical Vapor Deposition (PVD) Processing, 2nd ed.; Mattox, D.M., Ed.; Elsevier: Boston, MA, USA, 2010; pp. 195–235. [Google Scholar]
  263. Christen, H.M.; Eres, G. Recent advances in pulsed-laser deposition of complex oxides. J. Phys. Condens. Matter. 2008, 20, 264005. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  264. Safi, I. Recent aspects concerning DC reactive magnetron sputtering of thin films: A review. Surf. Coat. Technol. 2000, 127, 203–218. [Google Scholar] [CrossRef]
  265. Bobzin, K.; Bagcivan, N.; Immich, P.; Bolz, S.; Alami, J.; Cremer, R. Advantages of nanocomposite coatings deposited by high power pulse magnetron sputtering technology. J. Mater. Process. Technol. 2009, 209, 165–170. [Google Scholar] [CrossRef]
  266. Kumar, D.S.; Kumar, B.J.; Mahesh, H. Quantum nanostructures (QDs): An overview. In Synthesis of Inorganic Nanomaterials, 1st ed.; Bhagyaraj, S.M., Oluwafemi, O.S., Kalarikkal, N., Thomas, S., Eds.; Elsevier: London, UK, 2018; pp. 59–88. [Google Scholar]
  267. Rao, B.G.; Mukherjee, D.; Reddy, B.M. Novel approaches for preparation of nanoparticles. In Nanostructures for Novel Therapy, 1st ed.; Ficai, D., Grumezescu, A., Eds.; Elsevier: London, UK, 2017; pp. 1–36. [Google Scholar]
  268. Best, S.; Marti, P. Mineral coatings for orthopaedic applications. In Coatings for Biomedical Applications, 1st ed.; Driver, M., Ed.; Elsevier: London, UK, 2012; pp. 43–74. [Google Scholar]
  269. Li, J.F.; Viehland, D.; Tani, T.; Lakeman, C.; Payne, D. Piezoelectric properties of sol-gel-derived ferroelectric and antiferroelectric thin layers. J. Appl. Phys. 1994, 75, 442–448. [Google Scholar] [CrossRef]
  270. Kakihana, M. Invited review “sol-gel” preparation of high temperature superconducting oxides. J. Sol-Gel Scie. Technol. 1996, 6, 7–55. [Google Scholar] [CrossRef]
  271. Niederberger, M. Nonaqueous sol-gel routes to metal oxide nanoparticles. Acc. Chem. Res. 2007, 40, 793–800. [Google Scholar] [CrossRef] [Green Version]
  272. Reina, A.; Jia, X.; Ho, J.; Nezich, D.; Son, H.; Bulovic, V.; Dresselhaus, M.S.; Kong, J. Large area, few-layer graphene films on arbitrary substrates by chemical vapor deposition. Nano Lett. 2009, 9, 30–35. [Google Scholar] [CrossRef]
  273. Rebenne, H.E.; Bhat, D.G. Review of CVD TiN coatings for wear-resistant applications: Deposition processes, properties and performance. Surf. Coat. Technol. 1994, 63, 1–13. [Google Scholar] [CrossRef]
  274. Carlsson, J.O.; Martin, P.M. Chemical vapor deposition. In Handbook of Deposition Technologies for Films and Coatings, 3rd ed.; Martin, P.M., Ed.; Elsevier: London, UK, 2010; pp. 314–363. [Google Scholar]
  275. Johnson, R.W.; Hultqvist, A.; Bent, S.F. A brief review of atomic layer deposition: From fundamentals to applications. Mater. Today 2014, 17, 236–246. [Google Scholar] [CrossRef]
  276. Takada, K. Progress and prospective of solid-state lithium batteries. Acta Mater. 2013, 61, 759–770. [Google Scholar] [CrossRef]
  277. Jeong, E.; Hong, C.; Tak, Y.; Nam, S.C.; Cho, S. Investigation of interfacial resistance between LiCoO2 cathode and LiPON electrolyte in the thin film battery. J. Power Sources 2006, 159, 223–226. [Google Scholar] [CrossRef]
  278. Ito, S.; Fujiki, S.; Yamada, T.; Aihara, Y.; Park, Y.; Kim, T.Y.; Baek, S.W.; Lee, J.M.; Doo, S.; Machida, N. A rocking chair type all-solid-state lithium ion battery adopting Li2O-ZrO2 coated LiNi0.8Co0.15Al0.05O2 and a sulfide based electrolyte. J. Power Sources 2014, 248, 943–950. [Google Scholar] [CrossRef]
  279. Machida, N.; Kashiwagi, J.; Naito, M.; Shigematsu, T. Electrochemical properties of all-solid-state batteries with ZrO2-coated LiNi1/3Mn1/3Co1/3O2 as cathode materials. Solid State Ion. 2012, 225, 354–358. [Google Scholar] [CrossRef]
  280. Kitaura, H.; Hayashi, A.; Tadanaga, K.; Tatsumisago, M. Improvement of electrochemical performance of all-solid-state lithium secondary batteries by surface modification of LiMn2O4 positive electrode. Solid State Ion. 2011, 192, 304–307. [Google Scholar] [CrossRef]
  281. Kim, J.; Kim, M.; Noh, S.; Lee, G.; Shin, D. Enhanced electrochemical performance of surface modified LiCoO2 for all-solid-state lithium batteries. Ceram. Int. 2016, 42, 2140–2146. [Google Scholar] [CrossRef]
  282. Yang, G.J.; Kim, Y. Component-selective passivation of Li residues of Ni-based cathode materials by chemical mimicry of solid electrolyte interphase formation. ACS Appl. Energy Mater. 2019, 2, 217–221. [Google Scholar] [CrossRef]
  283. Yubuchi, S.; Ito, Y.; Matsuyama, T.; Hayashi, A.; Tatsumisago, M. 5V class LiNi0.5Mn1.5O4 positive electrode coated with Li3PO4 thin film for all-solid-state batteries using sulfide solid electrolyte. Solid State Ion. 2016, 285, 79–82. [Google Scholar] [CrossRef]
  284. Ito, Y.; Sakurai, Y.; Yubuchi, S.; Sakuda, A.; Hayashi, A.; Tatsumisago, M. Application of LiCoO2 particles coated with lithium ortho-oxosalt thin films to sulfide-type all-solid-state lithium batteries. J. Electrochem. Soc. 2015, 162, A1610–A1616. [Google Scholar] [CrossRef]
  285. Sakurai, Y.; Sakuda, A.; Hayashi, A.; Tatsumisago, M. Preparation of amorphous Li4SiO4-Li3PO4 thin films by pulsed laser deposition for all-solid-state lithium secondary batteries. Solid State Ion. 2011, 182, 59–63. [Google Scholar] [CrossRef]
  286. Okada, K.; Machida, N.; Naito, M.; Shigematsu, T.; Ito, S.; Fujiki, S.; Nakano, M.; Aihara, Y. Preparation and electrochemical properties of LiAlO2-coated Li(Ni1/3Mn1/3Co1/3)O2 for all-solid-state batteries. Solid State Ion. 2014, 255, 120–127. [Google Scholar] [CrossRef]
  287. Sakuda, A.; Hayashi, A.; Tatsumisago, M. Interfacial observation between LiCoO2 electrode and Li2S−P2S5 solid electrolytes of all-solid-state lithium secondary batteries using transmission electron microscopy. Chem. Mater. 2010, 22, 949–956. [Google Scholar] [CrossRef]
  288. Seino, Y.; Ota, T.; Takada, K. High rate capabilities of all-solid-state lithium secondary batteries using Li4Ti5O12-coated LiNi0.8Co0.15Al0.05O2 and a sulfide-based solid electrolyte. J. Power Sources 2011, 196, 6488–6492. [Google Scholar] [CrossRef]
  289. Kwak, H.W.; Park, Y.J. Li2MoO4 coated Ni-rich cathode for all-solid-state batteries. Thin Solid Films 2018, 660, 625–630. [Google Scholar] [CrossRef]
  290. Ding, Z.; Li, J.; Li, J.; An, C. Interfaces: Key issue to be solved for all solid-state lithium battery technologies. J. Electrochem. Soc. 2020, 167, 070541. [Google Scholar] [CrossRef]
  291. Xu, R.C.; Xia, X.H.; Zhang, S.Z.; Xie, D.; Wang, X.L.; Tu, J.P. Interfacial challenges and progress for inorganic all-solid-state lithium batteries. Electrochim. Acta 2018, 284, 177–187. [Google Scholar] [CrossRef]
  292. Ma, J.; Chen, B.; Wang, L.; Cui, G. Progress and prospect on failure mechanisms of solid-state lithium batteries. J. Power Sources 2018, 392, 94–115. [Google Scholar] [CrossRef]
  293. Zhu, Y.; He, X.; Mo, Y. Origin of outstanding stability in the lithium solid electrolyte materials: Insights from thermodynamic analyses based on first-principles calculations. ACS Appl. Mater. Interfaces 2015, 7, 23685–23693. [Google Scholar] [CrossRef] [PubMed]
  294. Zhu, Y.; He, X.; Mo, Y. First principles study on electrochemical and chemical stability of solid electrolyte-electrode interfaces in all-solid-state Li-ion batteries. J. Mater. Chem. A 2016, 4, 3253–3266. [Google Scholar] [CrossRef]
  295. Tadanaga, K.; Takano, R.; Ichinose, T.; Mori, S.; Hayashi, A.; Tatsumisago, M. Low temperature synthesis of highly ion conductive Li7La3Zr2O12-Li3BO3 composites. Electrochem. Commun. 2013, 33, 51–54. [Google Scholar] [CrossRef]
  296. Liu, T.; Zhang, Y.; Zhang, X.; Wang, L.; Zhao, S.X.; Lin, Y.H.; Shen, Y.; Luo, J.; Li, L.; Nan, C.W. Enhanced electrochemical performance of bulk type oxide ceramic lithium batteries enabled by interface modification. J. Mater. Chem. A 2018, 6, 4649–4657. [Google Scholar] [CrossRef]
  297. Lee, Y.N.; Yoon, Y.S. Cycle stability increase by insertion of Li-La-Ta-O thin-film electrolyte between cathode and solid electrolyte for all-solid-state battery. Thin Solid Films 2015, 579, 75–80. [Google Scholar] [CrossRef]
  298. Bai, L.; Xue, W.; Qin, H.; Li, Y.; Li, Y.; Sun, J. A novel dense LiCoO2 microcrystalline buffer layer on a cathode-electrolyte interface for all-solid-state lithium batteries prepared by the magnetron sputtering method. Electrochim. Acta 2019, 295, 677–683. [Google Scholar] [CrossRef]
  299. Ohta, N.; Takada, K.; Sakaguchi, I.; Zhang, L.; Ma, R.; Fukuda, K.; Osada, M.; Sasaki, T. LiNbO3-coated LiCoO2 as cathode material for all solid-state lithium secondary batteries. Electrochem. Commun. 2007, 9, 1486–1490. [Google Scholar] [CrossRef]
  300. Haruyama, J.; Sodeyama, K.; Han, L.; Takada, K.; Tateyama, Y. Space-charge layer effect at interface between oxide cathode and sulfide electrolyte in all-solid-state lithium-ion battery. Chem. Mater. 2014, 26, 4248–4255. [Google Scholar] [CrossRef]
  301. Takada, K.; Ohta, N.; Zhang, L.; Xu, X.; Hang, B.T.; Ohnishi, T.; Osada, M.; Sasaki, T. Interfacial phenomena in solid-state lithium battery with sulfide solid electrolyte. Solid State Ion. 2012, 225, 594–597. [Google Scholar] [CrossRef]
  302. Vinado, C.; Wang, S.; He, Y.; Xiao, X.; Li, Y.; Wang, C.; Yang, J. Electrochemical and interfacial behavior of all solid state batteries using Li10SnP2S12 solid electrolyte. J. Power Sources 2018, 396, 824–830. [Google Scholar] [CrossRef]
  303. Takahashi, K.; Maekawa, H.; Takamura, H. Effects of intermediate layer on interfacial resistance for all-solid-state lithium batteries using lithium borohydride. Solid State Ion. 2014, 262, 179–182. [Google Scholar] [CrossRef]
  304. Woo, J.H.; Trevey, J.E.; Cavanagh, A.S.; Choi, Y.S.; Kim, S.C.; George, S.M.; Oh, K.H.; Lee, S.H. Nanoscale interface modification of LiCoO2 by Al2O3 atomic layer deposition for solid-state Li batteries. J. Electrochem. Soc. 2012, 159, A1120–A1124. [Google Scholar] [CrossRef]
  305. Chen, K.; Yamamoto, K.; Orikasa, Y.; Uchiyama, T.; Ito, Y.; Yubuchi, S.; Hayashi, A.; Tatsumisago, M.; Nitta, K.; Uruga, T.; et al. Effect of introducing interlayers into electrode/electrolyte interface in all-solid-state battery using sulfide electrolyte. Solid State Ion. 2018, 327, 150–156. [Google Scholar] [CrossRef]
  306. Kim, S.; Harada, K.; Toyama, N.; Oguchi, H.; Kisu, K.; Orimo, S.I. Room temperature operation of all-solid-state battery using a closo-type complex hydride solid electrolyte and a LiCoO2 cathode by interfacial modification. J. Energy Chem. 2020, 43, 47–51. [Google Scholar] [CrossRef] [Green Version]
  307. Li, X.; Sun, Q.; Wang, Z.; Song, D.; Zhang, H.; Shi, X.; Li, C.; Zhang, L.; Zhu, L. Outstanding electrochemical performances of the all-solid-state lithium battery using Ni-rich layered oxide cathode and sulfide electrolyte. J. Power Sources 2020, 456, 227997. [Google Scholar] [CrossRef]
  308. Li, X.; Jin, L.; Song, D.; Zhang, H.; Shi, X.; Wang, Z.; Zhang, L.; Zhu, L. LiNbO3-coated LiNi0.8Co0.1Mn0.1O2 cathode with high discharge capacity and rate performance for all-solid-state lithium battery. J. Energy Chem. 2020, 40, 39–45. [Google Scholar] [CrossRef] [Green Version]
  309. Han, F.; Westover, A.S.; Yue, J.; Fan, X.; Wang, F.; Chi, M.; Leonard, D.N.; Dudney, N.J.; Wang, H.; Wang, C. High electronic conductivity as the origin of lithium dendrite formation within solid electrolytes. Nat. Energy 2019, 4, 187–196. [Google Scholar] [CrossRef]
  310. Wu, B.; Wang, S.; Lochala, J.; Desrochers, D.; Liu, B.; Zhang, W.; Yang, J.; Xiao, J. The role of the solid electrolyte interphase layer in preventing Li dendrite growth in solid-state batteries. Energy Environ. Sci. 2018, 11, 1803–1810. [Google Scholar] [CrossRef]
  311. Liu, Y.; Sun, Q.; Zhao, Y.; Wang, B.; Kaghazchi, P.; Adair, K.R.; Li, R.; Zhang, C.; Liu, J.; Kuo, L.Y.; et al. Stabilizing the interface of NASICON solid electrolyte against Li metal with atomic layer deposition. ACS Appl. Mater. Interfaces 2018, 10, 31240–31248. [Google Scholar] [CrossRef]
  312. Alexander, G.V.; Indu, M.S.; Kamakshy, S.; Murugan, R. Development of stable and conductive interface between garnet structured solid electrolyte and lithium metal anode for high performance solid-state battery. Electrochim. Acta 2020, 332, 135511. [Google Scholar] [CrossRef]
  313. Liu, B.; Zhang, L.; Xu, S.; McOwen, D.W.; Gong, Y.; Yang, C.; Pastel, G.R.; Xie, H.; Fu, K.; Dai, J.; et al. 3D lithium metal anodes hosted in asymmetric garnet frameworks toward high energy density batteries. Energy Storage Mater. 2018, 14, 376–382. [Google Scholar] [CrossRef]
  314. Lou, J.; Wang, G.; Xia, Y.; Liang, C.; Huang, H.; Gan, Y.; Tao, X.; Zhang, J.; Zhang, W. Achieving efficient and stable interface between metallic lithium and garnet-type solid electrolyte through a thin indium tin oxide interlayer. J. Power Sources 2020, 448, 227440. [Google Scholar] [CrossRef]
  315. Dudney, N.; Neudecker, B. Solid state thin-film lithium battery systems. Curr. Opin. Solid State Mater. Sci. 1999, 4, 479–482. [Google Scholar]
  316. Wang, Y.; Liu, B.; Li, Q.; Cartmell, S.; Ferrara, S.; Deng, Z.D.; Xiao, J. Lithium and lithium ion batteries for applications in microelectronic devices: A review. J. Power Sources 2015, 286, 330–345. [Google Scholar] [CrossRef] [Green Version]
  317. Oudenhoven, J.F.; Baggetto, L.; Notten, P.H. All-solid-state lithium-ion microbatteries: A review of various three-dimensional concepts. Adv. Energy Mater. 2011, 1, 10–33. [Google Scholar] [CrossRef]
  318. Kanehori, K.; Matsumoto, K.; Miyauchi, K.; Kudo, T. Thin film solid electrolyte and its application to secondary lithium cell. Solid State Ion. 1983, 9, 1445–1448. [Google Scholar] [CrossRef]
  319. Ohtsuka, H.; Yamaki, J.I. Electrical characteristics of Li2O-V2O5-SiO2 thin films. Solid State Ion. 1989, 35, 201–206. [Google Scholar] [CrossRef]
  320. Jourdaine, L.; Souquet, J.; Delord, V.; Ribes, M. Lithium solid state glass-based microgenerators. Solid State Ion. 1988, 28, 1490–1494. [Google Scholar] [CrossRef]
  321. Balkanski, M.; Julien, C.; Emery, J. Integrable lithium solid-state microbatteries. J. Power Sources 1989, 26, 615–622. [Google Scholar] [CrossRef]
  322. Bates, J.; Gruzalski, G.; Dudney, N.; Luck, C.; Yu, X. Rechargeable thin-film lithium batteries. Solid State Ion. 1994, 70, 619–628. [Google Scholar] [CrossRef] [Green Version]
  323. Long, J.W.; Dunn, B.; Rolison, D.R.; White, H.S. Three-dimensional battery architectures. Chem. Rev. 2004, 104, 4463–4492. [Google Scholar] [CrossRef]
  324. Garbayo, I.; Struzik, M.; Bowman, W.J.; Pfenninger, R.; Stilp, E.; Rupp, J.L. Glass-Type polyamorphism in Li-garnet thin film solid state battery conductors. Adv. Energy Mater. 2018, 8, 1702265. [Google Scholar] [CrossRef]
  325. Ferrari, S.; Loveridge, M.; Beattie, S.D.; Jahn, M.; Dashwood, R.J.; Bhagat, R. Latest advances in the manufacturing of 3D rechargeable lithium microbatteries. J. Power Sources 2015, 286, 25–46. [Google Scholar] [CrossRef] [Green Version]
  326. Julien, C.M.; Mauger, A. Pulsed laser deposited films for microbatteries. Coatings 2019, 9, 386. [Google Scholar] [CrossRef] [Green Version]
  327. Dudney, N.J. Solid-state thin-film rechargeable batteries. Mater. Sci. Eng.: B 2005, 116, 245–249. [Google Scholar] [CrossRef]
  328. Bates, J.; Gruzalski, G.; Dudney, N.; Luck, C.; Yu, X.; Jones, S. Rechargeable thin-film lithium microbatteries. Solid State Technol. 1993, 36, 59–64. [Google Scholar]
  329. Jones, S.D.; Akridge, J.R. A thin film solid state microbattery. Solid State Ion. 1992, 53, 628–634. [Google Scholar] [CrossRef]
  330. Lee, S.J.; Baik, H.K.; Lee, S.M. An all-solid-state thin film battery using LISIPON electrolyte and Si-V negative electrode films. Electrochem. Commun. 2003, 5, 32–35. [Google Scholar] [CrossRef]
  331. Zhou, Y.N.; Xue, M.Z.; Fu, Z.W. Nanostructured thin film electrodes for lithium storage and all-solid-state thin-film lithium batteries. J. Power Sources 2013, 234, 310–332. [Google Scholar] [CrossRef]
  332. Patil, A.; Patil, V.; Shin, D.W.; Choi, J.W.; Paik, D.S.; Yoon, S.J. Issue and challenges facing rechargeable thin film lithium batteries. Mater. Res. Bull. 2008, 43, 1913–1942. [Google Scholar] [CrossRef]
  333. Randau, S.; Weber, D.A.; Kötz, O.; Koerver, R.; Braun, P.; Weber, A.; Ivers-Tiffée, E.; Adermann, T.; Kulisch, J.; Zeier, W.G.; et al. Benchmarking the performance of all-solid-state lithium batteries. Nat. Energy 2020, 5, 259–270. [Google Scholar] [CrossRef]
  334. Tarascon, J.M.; Armand, M. Issues and challenges facing rechargeable lithium batteries. In Materials for Sustainable Energy; Dusastre, V., Ed.; World Scientific: Singapore, 2010; pp. 171–179. [Google Scholar]
  335. Zhang, W.; Liu, Y.; Guo, Z. Approaching high-performance potassium-ion batteries via advanced design strategies and engineering. Sci. Adv. 2019, 5, eaav7412. [Google Scholar] [CrossRef] [Green Version]
  336. Bates, J.; Gruzalski, G.; Dudney, N.; Luck, C.; Yu, X. Thin-film rechargeable lithium batteries. In Proceedings of the Symposium on the Science of Advanced Batteries, Cleveland, OH, USA, 8–9 November 1993. [Google Scholar]
  337. Xia, H.; Tang, S.; Lu, L. Properties of amorphous Si thin film anodes prepared by pulsed laser deposition. Mater. Res. Bull. 2007, 42, 1301–1309. [Google Scholar] [CrossRef]
  338. Park, M.; Wang, G.; Liu, H.K.; Dou, S. Electrochemical properties of Si thin film prepared by pulsed laser deposition for lithium ion micro-batteries. Electrochim. Acta 2006, 51, 5246–5249. [Google Scholar] [CrossRef]
  339. Ohara, S.; Suzuki, J.; Sekine, K.; Takamura, T. A thin film silicon anode for Li-ion batteries having a very large specific capacity and long cycle life. J. Power Sources 2004, 136, 303–306. [Google Scholar] [CrossRef]
  340. Chen, L.; Xie, J.; Yu, H.; Wang, T. An amorphous Si thin film anode with high capacity and long cycling life for lithium ion batteries. J. Appl. Electrochem. 2009, 39, 1157–1162. [Google Scholar] [CrossRef]
  341. Saulnier, M.; Trudeau, C.; Cloutier, S.G.; Schougaard, S.B. Investigation of CVD multilayered graphene as negative electrode for lithium-ion batteries. Electrochim. Acta 2017, 244, 54–60. [Google Scholar] [CrossRef] [Green Version]
  342. Reddy, A.L.M.; Srivastava, A.; Gowda, S.R.; Gullapalli, H.; Dubey, M.; Ajayan, P.M. Synthesis of nitrogen-doped graphene films for lithium battery application. ACS Nano 2010, 4, 6337–6342. [Google Scholar] [CrossRef] [Green Version]
  343. Fan, Z.J.; Yan, J.; Wei, T.; Ning, G.Q.; Zhi, L.J.; Liu, J.C.; Cao, D.X.; Wang, G.L.; Wei, F. Nanographene-constructed carbon nanofibers grown on graphene sheets by chemical vapor deposition: High-performance anode materials for lithium ion batteries. ACS Nano 2011, 5, 2787–2794. [Google Scholar] [CrossRef]
  344. Shen, B.; Ding, J.; Yan, X.; Feng, W.; Li, J.; Xue, Q. Influence of different buffer gases on synthesis of few-layered graphene by arc discharge method. Appl. Surf. Sci. 2012, 258, 4523–4531. [Google Scholar] [CrossRef]
  345. Bleu, Y.; Bourquard, F.; Tite, T.; Loir, A.S.; Maddi, C.; Donnet, C.; Garrelie, F. Review of graphene growth from a solid carbon source by pulsed laser deposition (PLD). Front. Chem. 2018, 6, 572. [Google Scholar] [CrossRef] [Green Version]
  346. Hirayama, M.; Kim, K.; Toujigamori, T.; Cho, W.; Kanno, R. Epitaxial growth and electrochemical properties of Li4Ti5O12 thin-film lithium battery anodes. Dalton Trans. 2011, 40, 2882–2887. [Google Scholar] [CrossRef]
  347. Deng, J.; Lu, Z.; Chung, C.; Han, X.; Wang, Z.; Zhou, H. Electrochemical performance and kinetic behavior of lithium ion in Li4Ti5O12 thin film electrodes. Appl. Surf. Sci. 2014, 314, 936–941. [Google Scholar] [CrossRef]
  348. Deng, J.; Lu, Z.; Belharouak, I.; Amine, K.; Chung, C.Y. Preparation and electrochemical properties of Li4Ti5O12 thin film electrodes by pulsed laser deposition. J. Power Sources 2009, 193, 816–821. [Google Scholar] [CrossRef]
  349. Cunha, D.M.; Hendriks, T.A.; Vasileiadis, A.; Vos, C.M.; Verhallen, T.; Singh, D.P.; Wagemaker, M.; Huijben, M. Doubling reversible capacities in epitaxial Li4Ti5O12 thin film anodes for microbatteries. ACS Appl. Energy Mater. 2019, 2, 3410–3418. [Google Scholar] [CrossRef] [Green Version]
  350. Wunde, F.; Berkemeier, F.; Schmitz, G. Lithium diffusion in sputter-deposited Li4Ti5O12 thin films. J. Power Sources 2012, 215, 109–115. [Google Scholar] [CrossRef]
  351. Kumatani, A.; Shiraki, S.; Takagi, Y.; Suzuki, T.; Ohsawa, T.; Gao, X.; Ikuhara, Y.; Hitosugi, T. Epitaxial growth of Li4Ti5O12 thin films using RF magnetron sputtering. Jpn. J. Appl. Phys. 2014, 53, 058001. [Google Scholar] [CrossRef]
  352. Shen, C.M.; Zhang, X.G.; Zhou, Y.K.; Li, H.L. Preparation and characterization of nanocrystalline Li4Ti5O12 by sol-gel method. Mater. Chem. Phys. 2003, 78, 437–441. [Google Scholar] [CrossRef]
  353. Rho, Y.H.; Kanamura, K.; Fujisaki, M.; Hamagami, J.I.; Suda, S.I.; Umegaki, T. Preparation of Li4Ti5O12 and LiCoO2 thin film electrodes from precursors obtained by sol-gel method. Solid State Ion. 2002, 151, 151–157. [Google Scholar] [CrossRef]
  354. Tadanaga, K.; Yamaguchi, A.; Hayashi, A.; Tatsumisago, M.; Mosa, J.; Aparicio, M. Preparation of Li4Ti5O12 electrode thin films by a mist CVD process with aqueous precursor solution. J. Asian Ceram. Soc. 2015, 3, 88–91. [Google Scholar] [CrossRef] [Green Version]
  355. Tang, S.; Xia, H.; Lai, M.; Lu, L. Characterization of amorphous LiNiVO4 thin-film anode grown by pulsed laser deposition. J. Electrochem. Soc. 2006, 153, A875–A879. [Google Scholar] [CrossRef]
  356. Tang, S.; Xia, H.; Lai, M.; Lu, L. Amorphous LiNiVO4 thin-film anode for microbatteries grown by pulsed laser deposition. J. Power Sources 2006, 159, 685–689. [Google Scholar] [CrossRef]
  357. Tang, S.; Lai, M.; Lu, L. Growth and characterization of LiNiVO4 thin film cathode by pulsed laser deposition. Thin Solid Films 2008, 516, 1693–1698. [Google Scholar] [CrossRef]
  358. Reddy, M.; Wannek, C.; Pecquenard, B.; Vinatier, P.; Levasseur, A. LiNiVO4-promising thin films for use as anode material in microbatteries. J. Power Sources 2003, 119, 101–105. [Google Scholar] [CrossRef]
  359. Lee, S.J.; Lee, H.Y.; Ha, T.S.; Baik, H.K.; Lee, S.M. Amorphous lithium nickel vanadate thin-film anodes for rechargeable lithium microbatteries. Electrochem. Solid State Lett. 2002, 5, A138. [Google Scholar] [CrossRef]
  360. Reddy, M.; Pecquenard, B.; Vinatier, P.; Levasseur, A. Structural and electrochemical studies of annealed LiNiVO4 thin films. Surf. Interface Anal. 2007, 39, 653–659. [Google Scholar] [CrossRef]
  361. Daramalla, V.; Penki, T.R.; Munichandraiah, N.; Krupanidhi, S. Fabrication of TiNb2O7 thin film electrodes for Li-ion micro-batteries by pulsed laser deposition. Mater. Sci. Eng.: B 2016, 213, 90–97. [Google Scholar] [CrossRef]
  362. Daramalla, V.; Krupanidhi, S. Growth and characterization of titanium niobium oxide (TiNb2O7) thin films. Mater. Res. Soc. Symp. Proc. 2015, 1805. [Google Scholar] [CrossRef]
  363. Daramalla, V.; Dutta, S.; Krupanidhi, S. Temperature dependent dielectric properties and AC conductivity studies on titanium niobium oxide (TiNb2O7) thin films. J. Eur. Ceram. Soc. 2020, 40, 1293–1300. [Google Scholar] [CrossRef]
  364. Chang, M.C.; Huang, C.S.; Ho, Y.D.; Huang, C.L. Sol-gel derived TiNb2O7 dielectric thin films for transparent electronic applications. J. Am. Ceram. Soc. 2018, 101, 674–682. [Google Scholar] [CrossRef]
  365. Tan, J.; Tiwari, A. Fabrication and characterization of Li7La3Zr2O12 thin films for lithium ion battery. ECS Solid State Lett. 2012, 1, Q57. [Google Scholar] [CrossRef]
  366. Jeon, S.W.; Lim, J.K.; Lim, S.H.; Lee, S.M. As-deposited LiCoO2 thin film cathodes prepared by RF magnetron sputtering. Electrochim. Acta 2005, 51, 268–273. [Google Scholar] [CrossRef]
  367. Striebel, K.; Deng, C.; Wen, S.; Cairns, E. Electrochemical behavior of LiMn2O4 and LiCoO2 thin films produced with pulsed laser deposition. J. Electrochem. Soc. 1996, 143, 1821. [Google Scholar] [CrossRef]
  368. McGraw, J.M.; Bahn, C.S.; Parilla, P.A.; Perkins, J.D.; Readey, D.W.; Ginley, D.S. Li ion diffusion measurements in V2O5 and Li(Co1−xAlx)O2 thin-film battery cathodes. Electrochim. Acta 1999, 45, 187–196. [Google Scholar] [CrossRef]
  369. Julien, C.; Camacho-Lopez, M.; Escobar-Alarcon, L.; Haro-Poniatowski, E. Fabrication of LiCoO2 thin-film cathodes for rechargeable lithium microbatteries. Mater. Chem. Phys. 2001, 68, 210–216. [Google Scholar] [CrossRef]
  370. Escobar-Alarcon, L.; Haro-Poniatowski, E.; Jimenez-Jarquin, J.; Massot, M.; Julien, C. Physical properties of lithium-cobalt oxides grown by laser ablation. Mat. Res. Soc. Symp. Proc. 1998, 548, 223–228. [Google Scholar] [CrossRef]
  371. Julien, C.; Haro-Poniatowski, E.; Hussain, O.; Ramana, C. Structure and electrochemistry of thin-film oxides grown by laser-pulsed deposition. Ionics 2001, 7, 165–171. [Google Scholar] [CrossRef]
  372. Okada, K.; Ohnishi, T.; Mitsuishi, K.; Takada, K. Epitaxial growth of LiCoO2 thin films with (001) orientation. AIP Adv. 2017, 7, 115011. [Google Scholar] [CrossRef] [Green Version]
  373. Nishio, K.; Ohnishi, T.; Mitsuishi, K.; Ohta, N.; Watanabe, K.; Takada, K. Orientation alignment of epitaxial LiCoO2 thin films on vicinal SrTiO3 (100) substrates. J. Power Sources 2016, 325, 306–310. [Google Scholar] [CrossRef]
  374. Xie, J.; Imanishi, N.; Matsumura, T.; Hirano, A.; Takeda, Y.; Yamamoto, O. Orientation dependence of Li-ion diffusion kinetics in LiCoO2 thin films prepared by RF magnetron sputtering. Solid State Ion. 2008, 179, 362–370. [Google Scholar] [CrossRef]
  375. Zhu, X.; Guo, Z.; Du, G.; Zhang, P.; Liu, H. LiCoO2 cathode thin film fabricated by RF sputtering for lithium ion microbatteries. Surf. Coat. Technol. 2010, 204, 1710–1714. [Google Scholar] [CrossRef]
  376. Liao, C.L.; Lee, Y.H.; Fung, K.Z. The film growth and electrochemical properties of RF-sputtered LiCoO2 thin films. J. Alloys Compd. 2007, 436, 303–308. [Google Scholar] [CrossRef]
  377. Donders, M.; Arnoldbik, W.; Knoops, H.; Kessels, W.; Notten, P. Atomic layer deposition of LiCoO2 thin-film electrodes for all-solid-state Li-ion micro-batteries. J. Electrochem. Soc. 2013, 160, A3066. [Google Scholar] [CrossRef] [Green Version]
  378. Nilsen, O.; Miikkulainen, V.; Gandrud, K.B.; Østreng, E.; Ruud, A.; Fjellvåg, H. Atomic layer deposition of functional films for Li-ion microbatteries. Phys. Status Solidi A 2014, 211, 357–367. [Google Scholar] [CrossRef]
  379. Cho, S.I.; Yoon, S.G. Characterization of LiCoO2 thin film cathodes deposited by liquid-delivery metallorganic chemical vapor deposition for rechargeable lithium batteries. J. Electrochem. Soc. 2002, 149, A1584–A1588. [Google Scholar] [CrossRef]
  380. Fragnaud, P.; Nagarajan, R.; Schleich, D.; Vujic, D. Thin-film cathodes for secondary lithium batteries. J. Power Sources 1995, 54, 362–366. [Google Scholar] [CrossRef]
  381. Nishio, K.; Ohnishi, T.; Akatsuka, K.; Takada, K. Crystal orientation of epitaxial LiCoO2 films grown on SrTiO3 substrates. J. Power Sources 2014, 247, 687–691. [Google Scholar] [CrossRef]
  382. Tang, S.; Xia, H.; Lai, M.; Lu, L. Characterization of LiMn2O4 thin films grown on Si substrates by pulsed laser deposition. J. Alloys Compd. 2008, 449, 322–325. [Google Scholar] [CrossRef]
  383. Sonoyama, N.; Iwase, K.; Takatsuka, H.; Matsumura, T.; Imanishi, N.; Takeda, Y.; Kanno, R. Electrochemistry of LiMn2O4 epitaxial films deposited on various single crystal substrates. J. Power Sources 2009, 189, 561–565. [Google Scholar] [CrossRef]
  384. Hendriks, R.; Cunha, D.M.; Singh, D.P.; Huijben, M. Enhanced lithium transport by control of crystal orientation in spinel LiMn2O4 thin film cathodes. ACS Appl. Energy Mater. 2018, 1, 7046–7051. [Google Scholar] [CrossRef] [Green Version]
  385. Camacho-Lopez, M.; Escobar-Alarcon, L.; Haro-Poniatowski, E.; Julien, C. LiMn2O4 films grown by pulsed-laser deposition. Ionics 1999, 5, 244–250. [Google Scholar] [CrossRef]
  386. Xie, J.; Tanaka, T.; Imanishi, N.; Matsumura, T.; Hirano, A.; Takeda, Y.; Yamamoto, O. Li-ion transport kinetics in LiMn2O4 thin films prepared by radio frequency magnetron sputtering. J. Power Sources 2008, 180, 576–581. [Google Scholar] [CrossRef]
  387. Prasad, K.H.; Vinoth, S.; Ratnakar, A.; Venkateswarlu, M.; Satyanarayana, N. Structural and electrical conductivity studies of spinel LiMn2O4 cathode films grown by RF Sputtering. Mater. Today: Proc. 2016, 3, 4046–4051. [Google Scholar] [CrossRef]
  388. Hwang, B.J.; Wang, C.Y.; Cheng, M.Y.; Santhanam, R. Structure, morphology, and electrochemical investigation of LiMn2O4 thin film cathodes deposited by Radio frequency sputtering for lithium microbatteries. J. Phys. Chem. C 2009, 113, 11373–11380. [Google Scholar] [CrossRef]
  389. Park, Y.; Kim, J.; Kim, M.; Chung, H.; Um, W.; Kim, M.; Kim, H. Fabrication of LiMn2O4 thin films by sol-gel method for cathode materials of microbattery. J. Power Sources 1998, 76, 41–47. [Google Scholar] [CrossRef]
  390. Park, Y.; Kim, J.; Kim, M.; Chung, H.; Kim, H.G. Preparation of LiMn2O4 thin films by a sol-gel method. Solid State Ion. 2000, 130, 203–214. [Google Scholar] [CrossRef]
  391. Tadanaga, K.; Yamaguchi, A.; Sakuda, A.; Hayashi, A.; Tatsumisago, M.; Duran, A.; Aparacio, M. Preparation of LiMn2O4 cathode thin films for thin film lithium secondary batteries by a mist CVD process. Mater. Res. Bull. 2014, 53, 196–198. [Google Scholar] [CrossRef] [Green Version]
  392. Tan, G.; Wu, F.; Lu, J.; Chen, R.; Li, L.; Amine, K. Controllable crystalline preferred orientation in Li-Co-Ni-Mn oxide cathode thin films for all-solid-state lithium batteries. Nanoscale 2014, 6, 10611–10622. [Google Scholar] [CrossRef] [PubMed]
  393. Jacob, C.; Lynch, T.; Chen, A.; Jian, J.; Wang, H. Highly textured Li(Ni0.5Mn0.3Co0.2)O2 thin films on stainless steel as cathode for lithium-ion battery. J. Power Sources 2013, 241, 410–414. [Google Scholar] [CrossRef]
  394. Prathibha, G.; Rosaiah, P.; Hussain, O. Growth and electrochemical properties of RF sputter deposited Li[Ni0.5Co0.25Mn0.25]O2 film cathodes. Mater. Today: Proc. 2019, 19, 388–391. [Google Scholar] [CrossRef]
  395. Jacob, C.; Jian, J.; Zhu, Y.; Su, Q.; Wang, H. A new approach to investigate Li2MnO3 and Li(Ni0.5Mn0.3Co0.2)O2 mixed phase cathode materials. J. Mater. Chem. A 2014, 2, 2283–2289. [Google Scholar] [CrossRef]
  396. Wu, C.Y.; Bao, Q.; Lai, Y.C.; Liu, X.; Lu, Y.C.; Tao, H.; Duh, J.G. In-situ thermal annealing Pt/Ti interphase layers for epitaxial growth of improved Li(Ni0.5Mn0.3Co0.2)O2 solid thin-film cathodes. Nano Energy 2019, 60, 784–793. [Google Scholar] [CrossRef]
  397. Sun, Z.; Liu, L.; Yang, B.; Li, Q.; Wu, B.; Zhao, J.; Ma, L.; Liu, Y.; An, H. Preparation and ion conduction of Li1.5Al0.5Ge1.5(PO4)3 solid electrolyte films using radio frequency sputtering. Solid State Ion. 2020, 346, 115224. [Google Scholar] [CrossRef]
  398. Ling, Q.; Yu, Z.; Xu, H.; Zhu, G.; Zhang, X.; Zhao, Y.; Yu, A. Preparation and electrical properties of amorphous Li-Al-Ti-PO thin film electrolyte. Mater. Lett. 2016, 169, 42–45. [Google Scholar] [CrossRef]
  399. Chen, H.; Tao, H.; Zhao, X.; Wu, Q. Fabrication and ionic conductivity of amorphous Li-Al-Ti-P-O thin film. J. Non-Cryst. Solids 2011, 357, 3267–3271. [Google Scholar] [CrossRef]
  400. Sun, Z.; Liu, L.; Lu, Y.; Shi, G.; Li, J.; Ma, L.; Zhao, J.; An, H. Preparation and ionic conduction of Li1.5Al0.5Ge1.5(PO4)3 solid electrolyte using inorganic germanium as precursor. J. Eur. Ceram. Soc. 2019, 39, 402–408. [Google Scholar] [CrossRef]
  401. Inada, R.; Ishida, K.I.; Tojo, M.; Okada, T.; Tojo, T.; Sakurai, Y. Properties of aerosol deposited NASICON-type Li1.5Al0.5Ge1.5(PO4)3 solid electrolyte thin films. Ceram. Int. 2015, 41, 11136–11142. [Google Scholar] [CrossRef]
  402. Nakagawa, A.; Kuwata, N.; Matsuda, Y.; Kawamura, J. Characterization of stable solid electrolyte lithium silicate for thin film lithium battery. J. Phys. Soc. Jpn. 2010, 79, 98–101. [Google Scholar] [CrossRef]
  403. Kuwata, N.; Kumar, R.; Toribami, K.; Suzuki, T.; Hattori, T.; Kawamura, J. Thin film lithium ion batteries prepared only by pulsed laser deposition. Solid State Ion. 2006, 177, 2827–2832. [Google Scholar] [CrossRef]
  404. Kuwata, N.; Kawamura, J.; Toribami, K.; Hattori, T.; Sata, N. Thin-film lithium ion batteries with Li-V-Si-O amorphous solid electrolyte fabricated by pulsed laser deposition. In Solid State Ionics: The Science and Technology of Ions in Motion; Yoo, H.I., Chowdari, B.V.R., Choi, G.M., Lee, J.H., Eds.; World Scientific: Singapore, 2004; pp. 637–644. [Google Scholar]
  405. Kuwata, N.; Kawamura, J.; Toribami, K.; Hattori, T.; Sata, N. Thin-film lithium-ion battery with amorphous solid electrolyte fabricated by pulsed laser deposition. Electrochem. Commun. 2004, 6, 417–421. [Google Scholar] [CrossRef]
  406. Kawamura, J.; Kuwata, N.; Toribami, K.; Sata, N.; Kamishima, O.; Hattori, T. Preparation of amorphous lithium ion conductor thin films by pulsed laser deposition. Solid State Ion. 2004, 175, 273–276. [Google Scholar] [CrossRef]
  407. Ohtsuka, H.; Yamaki, J.I. Preparation and electrical conductivity of Li2O-V2O5-SiO2 thin films. Jpn. J. Appl. Phys. 1989, 28, 2264. [Google Scholar] [CrossRef]
  408. Ohtsuka, H.; Okada, S.; Yamaki, J.I. Solid state battery with Li2O-V2O5-SiO2 solid electrolyte thin film. Solid State Ion. 1990, 40, 964–966. [Google Scholar] [CrossRef]
  409. Hamon, Y.; Douard, A.; Sabary, F.; Marcel, C.; Vinatier, P.; Pecquenard, B.; Levasseur, A. Influence of sputtering conditions on ionic conductivity of LiPON thin films. Solid State Ion. 2006, 177, 257–261. [Google Scholar] [CrossRef]
  410. West, W.; Whitacre, J.; Lim, J. Chemical stability enhancement of lithium conducting solid electrolyte plates using sputtered LiPON thin films. J. Power Sources 2004, 126, 134–138. [Google Scholar] [CrossRef]
  411. Zhao, S.; Fu, Z.; Qin, Q. A solid-state electrolyte lithium phosphorus oxynitride film prepared by pulsed laser deposition. Thin Solid Films 2002, 415, 108–113. [Google Scholar] [CrossRef]
  412. West, W.C.; Hood, Z.D.; Adhikari, S.P.; Liang, C.; Lachgar, A.; Motoyama, M.; Iriyama, Y. Reduction of charge-transfer resistance at the solid electrolyte-electrode interface by pulsed laser deposition of films from a crystalline Li2PO2N source. J. Power Sources 2016, 312, 116–122. [Google Scholar] [CrossRef] [Green Version]
  413. Kozen, A.C.; Pearse, A.J.; Lin, C.F.; Noked, M.; Rubloff, G.W. Atomic layer deposition of the solid electrolyte LiPON. Chem. Mater. 2015, 27, 5324–5331. [Google Scholar] [CrossRef] [Green Version]
  414. Wei, J.; Ogawa, D.; Fukumura, T.; Hirose, Y.; Hasegawa, T. Epitaxial strain-controlled ionic conductivity in Li-ion solid electrolyte Li0.33La0.56TiO3 thin films. Cryst. Growth Des. 2015, 15, 2187–2191. [Google Scholar] [CrossRef]
  415. Kim, S.; Hirayama, M.; Suzuki, K.; Kanno, R. Hetero-epitaxial growth of Li0.17La0.61TiO3 solid electrolyte on LiMn2O4 electrode for all solid-state batteries. Solid State Ion. 2014, 262, 578–581. [Google Scholar] [CrossRef]
  416. Ohnishi, T.; Takada, K. Synthesis and orientation control of Li-ion conducting epitaxial Li0.33La0.56TiO3 solid electrolyte thin films by pulsed laser deposition. Solid State Ion. 2012, 228, 80–82. [Google Scholar] [CrossRef]
  417. Ohta, H.; Mizoguchi, T.; Aoki, N.; Yamamoto, T.; Sabarudin, A.; Umemura, T. Lithium-ion conducting La2/3−xLi3xTiO3 solid electrolyte thin films with stepped and terraced surfaces. Appl. Phys. Lett. 2012, 100, 173107. [Google Scholar] [CrossRef]
  418. Aaltonen, T.; Alnes, M.; Nilsen, O.; Costelle, L.; Fjellvåg, H. Lanthanum titanate and lithium lanthanum titanate thin films grown by atomic layer deposition. J. Mater. Chem. 2010, 20, 2877–2881. [Google Scholar] [CrossRef]
  419. Ohnishi, T.; Mitsuishi, K.; Nishio, K.; Takada, K. Epitaxy of Li3xLa2/3–xTiO3 films and the influence of La ordering on Li-ion conduction. Chem. Mater. 2015, 27, 1233–1241. [Google Scholar] [CrossRef]
  420. Aguesse, F.; Roddatis, V.; Roqueta, J.; García, P.; Pergolesi, D.; Santiso, J.; Kilner, J.A. Microstructure and ionic conductivity of LLTO thin films: Influence of different substrates and excess lithium in the target. Solid State Ion. 2015, 272, 1–8. [Google Scholar] [CrossRef] [Green Version]
  421. Teranishi, T.; Ishii, Y.; Hayashi, H.; Kishimoto, A. Lithium ion conductivity of oriented Li0.33La0.56TiO3 solid electrolyte films prepared by a sol-gel process. Solid State Ion. 2016, 284, 1–6. [Google Scholar] [CrossRef]
  422. Xiong, Y.; Tao, H.; Zhao, J.; Cheng, H.; Zhao, X. Effects of annealing temperature on structure and opt-electric properties of ion-conducting LLTO thin films prepared by RF magnetron sputtering. J. Alloys Compd. 2011, 509, 1910–1914. [Google Scholar] [CrossRef]
  423. Lee, J.Z.; Wang, Z.; Xin, H.L.; Wynn, T.A.; Meng, Y.S. Amorphous lithium lanthanum titanate for solid-state microbatteries. J. Electrochem. Soc. 2017, 164, A6268–A6273. [Google Scholar] [CrossRef] [Green Version]
  424. Kim, D.H.; Imashuku, S.; Wang, L.; Shao-Horn, Y.; Ross, C.A. Li loss during the growth of (Li, La)TiO3 thin films by pulsed laser deposition. J. Cryst. Growth 2013, 372, 9–14. [Google Scholar] [CrossRef]
  425. Furusawa, S.I.; Tabuchi, H.; Sugiyama, T.; Tao, S.; Irvine, J.T. Ionic conductivity of amorphous lithium lanthanum titanate thin film. Solid State Ion. 2005, 176, 553–558. [Google Scholar] [CrossRef]
  426. Stramare, S.; Thangadurai, V.; Weppner, W. Lithium lanthanum titanates: A review. Chem. Mater 2003, 15, 3974–3990. [Google Scholar] [CrossRef]
  427. Thangadurai, V.; Narayanan, S.; Pinzaru, D. Garnet-type solid-state fast Li ion conductors for Li batteries: Critical review. Chem. Soc. Rev. 2014, 43, 4714–4727. [Google Scholar] [CrossRef]
  428. Van Den Broek, J.; Afyon, S.; Rupp, J.L. Interface-engineered all-solid-state Li-ion batteries based on garnet-type fast Li+ conductors. Adv. Energy Mater. 2016, 6, 1600736. [Google Scholar] [CrossRef] [Green Version]
  429. Kim, S.; Hirayama, M.; Taminato, S.; Kanno, R. Epitaxial growth and lithium ion conductivity of lithium-oxide garnet for an all solid-state battery electrolyte. Dalton Trans. 2013, 42, 13112–13117. [Google Scholar] [CrossRef] [PubMed]
  430. Park, J.S.; Cheng, L.; Zorba, V.; Mehta, A.; Cabana, J.; Chen, G.; Doeff, M.M.; Richardson, T.J.; Park, J.H.; Son, J.W. Effects of crystallinity and impurities on the electrical conductivity of Li-La-Zr-O thin films. Thin Solid Films 2015, 576, 55–60. [Google Scholar] [CrossRef] [Green Version]
  431. Rawlence, M.; Garbayo, I.; Buecheler, S.; Rupp, J. On the chemical stability of post-lithiated garnet Al-stabilized Li7La3Zr2O12 solid state electrolyte thin films. Nanoscale 2016, 8, 14746–14753. [Google Scholar] [CrossRef]
  432. Kalita, D.; Lee, S.; Lee, K.; Ko, D.; Yoon, Y. Ionic conductivity properties of amorphous Li-La-Zr-O solid electrolyte for thin film batteries. Solid State Ion. 2012, 229, 14–19. [Google Scholar] [CrossRef]
  433. Tadanaga, K.; Egawa, H.; Hayashi, A.; Tatsumisago, M.; Mosa, J.; Aparicio, M.; Duran, A. Preparation of lithium ion conductive Al-doped Li7La3Zr2O12 thin films by a sol-gel process. J. Power Sources 2015, 273, 844–847. [Google Scholar] [CrossRef] [Green Version]
  434. Bitzer, M.; Van Gestel, T.; Uhlenbruck, S. Sol-gel synthesis of thin solid Li7La3Zr2O12 electrolyte films for Li-ion batteries. Thin Solid Films 2016, 615, 128–134. [Google Scholar] [CrossRef]
  435. Chen, R.J.; Huang, M.; Huang, W.Z.; Shen, Y.; Lin, Y.H.; Nan, C.W. Sol-gel derived Li-La-Zr-O thin films as solid electrolytes for lithium-ion batteries. J. Mater. Chem. A 2014, 2, 13277–13282. [Google Scholar] [CrossRef]
  436. Katsui, H.; Goto, T. Preparation of cubic and tetragonal Li7La3Zr2O12 film by metal organic chemical vapor deposition. Thin Solid Films 2015, 584, 130–134. [Google Scholar] [CrossRef]
  437. Saccoccio, M.; Yu, J.; Lu, Z.; Kwok, S.C.; Wang, J.; Yeung, K.K.; Yuen, M.M.; Ciucci, F. Low temperature pulsed laser deposition of garnet Li6.4La3Zr1.4Ta0.6O12 films as all solid-state lithium battery electrolytes. J. Power Sources 2017, 365, 43–52. [Google Scholar] [CrossRef]
  438. Hwang, H.Y.; Iwasa, Y.; Kawasaki, M.; Keimer, B.; Nagaosa, N.; Tokura, Y. Emergent phenomena at oxide interfaces. Nat. Mater. 2012, 11, 103–113. [Google Scholar]
  439. Zubko, P.; Gariglio, S.; Gabay, M.; Ghosez, P.; Triscone, J.M. Interface physics in complex oxide heterostructures. Annu. Rev. Condens. Matter Phys. 2011, 2, 141–165. [Google Scholar] [CrossRef]
  440. Brinkman, A.; Huijben, M.; van Zalk, M.; Huijben, J.; Zeitler, U.; Maan, J.C.; van der Wiel, W.G.; Rijnders, G.; Blank, D.H.A.; Hilgenkamp, H. Magnetic effects at the interface between non-magnetic oxides. Nat. Mater. 2007, 6, 493–496. [Google Scholar] [CrossRef]
  441. Ohtomo, A.; Hwang, H.Y. A high-mobility electron gas at the LaAlO3/SrTiO3 heterointerface. Nature 2004, 427, 423–426. [Google Scholar] [CrossRef]
  442. Pomerantseva, E.; Gogotsi, Y. Two-dimensional heterostructures for energy storage. Nat. Energy 2017, 2, 17089. [Google Scholar] [CrossRef]
  443. Suzuki, K.; Kim, K.; Taminato, S.; Hirayama, M.; Kanno, R. Fabrication and electrochemical properties of LiMn2O4/SrRuO3 multi-layer epitaxial thin film electrodes. J. Power Sources 2013, 226, 340–345. [Google Scholar] [CrossRef]
  444. Aierken, Y.; Sevik, C.; Gülseren, O.; Peeters, F.M.; Çakır, D. MXenes/graphene heterostructures for Li battery applications: A first principles study. J. Mater. Chem. A 2018, 6, 2337–2345. [Google Scholar] [CrossRef]
  445. Chueh, W.C.; Hao, Y.; Jung, W.; Haile, S.M. High electrochemical activity of the oxide phase in model ceria-Pt and ceria-Ni composite anodes. Nat. Mater. 2012, 11, 155–161. [Google Scholar] [CrossRef] [Green Version]
  446. Lee, D.; Gao, X.; Sun, L.; Jee, Y.; Poplawsky, J.; Farmer, T.O.; Fan, L.; Guo, E.J.; Lu, Q.; Heller, W.T.; et al. Colossal oxygen vacancy formation at a fluorite-bixbyite interface. Nat. Commun. 2020, 11, 1371. [Google Scholar] [CrossRef]
  447. Yang, Y.; Peng, Z.; Wang, G.; Ruan, G.; Fan, X.; Li, L.; Fei, H.; Hauge, R.H.; Tour, J.M. Three-dimensional thin film for lithium-ion batteries and supercapacitors. ACS Nano 2014, 8, 7279–7287. [Google Scholar] [CrossRef]
  448. Choi, B.G.; Chang, S.J.; Lee, Y.B.; Bae, J.S.; Kim, H.J.; Huh, Y.S. 3D heterostructured architectures of Co3O4 nanoparticles deposited on porous graphene surfaces for high performance of lithium ion batteries. Nanoscale 2012, 4, 5924–5930. [Google Scholar] [CrossRef] [PubMed] [Green Version]
Figure 1. Capacities and voltage windows of various cathode and anode materials [40,41,63,64,65,66,67,68,69,70,71,72,73,74]. Battery potential is the relative difference between the voltage of the positive electrode and the negative electrode.
Figure 1. Capacities and voltage windows of various cathode and anode materials [40,41,63,64,65,66,67,68,69,70,71,72,73,74]. Battery potential is the relative difference between the voltage of the positive electrode and the negative electrode.
Applsci 10 04727 g001
Figure 2. TiO2 crystal structures of (a) rutile, (b) anatase, (c) bronze, (d) brookite, (e) columbite, (f) hollandite, (g) baddeleyite and (h) ramsdellite phases. Reprinted from ref. [111] with permission of Elsevier.
Figure 2. TiO2 crystal structures of (a) rutile, (b) anatase, (c) bronze, (d) brookite, (e) columbite, (f) hollandite, (g) baddeleyite and (h) ramsdellite phases. Reprinted from ref. [111] with permission of Elsevier.
Applsci 10 04727 g002
Figure 3. Calculated formation energy of Al-doped cubic spinel LiMn2O4 (LMO). Reprinted from ref. [137] with permission of Elsevier.
Figure 3. Calculated formation energy of Al-doped cubic spinel LiMn2O4 (LMO). Reprinted from ref. [137] with permission of Elsevier.
Applsci 10 04727 g003
Figure 4. Crystal structure of LiMVO4 (M = Ni or Co). Reprinted from ref. [151] with permission of The Royal Society of Chemistry.
Figure 4. Crystal structure of LiMVO4 (M = Ni or Co). Reprinted from ref. [151] with permission of The Royal Society of Chemistry.
Applsci 10 04727 g004
Figure 5. Crystal structure of Ni-rich LiNi1−2yMnyCoyO2 (NMC) (y ≤ 0.1). Reprinted from ref. [165] with permission of Elsevier.
Figure 5. Crystal structure of Ni-rich LiNi1−2yMnyCoyO2 (NMC) (y ≤ 0.1). Reprinted from ref. [165] with permission of Elsevier.
Applsci 10 04727 g005
Figure 6. Crystal structure of (a) cubic Li7La3Zr2O12 (LLZO), (b) coordination polyhedra around the Li1 and Li2 sites and (c) Li+ migration through the LLZO crystal structure. Reprinted from ref. [216] with permission of The Chemical Society of Japan.
Figure 6. Crystal structure of (a) cubic Li7La3Zr2O12 (LLZO), (b) coordination polyhedra around the Li1 and Li2 sites and (c) Li+ migration through the LLZO crystal structure. Reprinted from ref. [216] with permission of The Chemical Society of Japan.
Applsci 10 04727 g006
Figure 7. Schematic diagram of lithium metal battery and electrode/electrolyte interface issues.
Figure 7. Schematic diagram of lithium metal battery and electrode/electrolyte interface issues.
Applsci 10 04727 g007
Figure 8. Schematic representation of the main components in a standard pulsed laser deposition (PLD) process.
Figure 8. Schematic representation of the main components in a standard pulsed laser deposition (PLD) process.
Applsci 10 04727 g008
Figure 9. Schematic diagram of a sputtering system showing the basic components and operation.
Figure 9. Schematic diagram of a sputtering system showing the basic components and operation.
Applsci 10 04727 g009
Figure 10. Schematic of a chemical vapor deposition (CVD) system with the basic components and operation.
Figure 10. Schematic of a chemical vapor deposition (CVD) system with the basic components and operation.
Applsci 10 04727 g010
Figure 11. Comparison of the volumetric and gravimetric energy density of solid-state thin-film batteries (SSTFBs) with other batteries [333,334,335].
Figure 11. Comparison of the volumetric and gravimetric energy density of solid-state thin-film batteries (SSTFBs) with other batteries [333,334,335].
Applsci 10 04727 g011
Figure 12. Conductivity summary of bulk [405] and thin-film Li2O–V2O5–SiO2 (LVSO) deposited via PLD [404,405] and RF sputtering [319].
Figure 12. Conductivity summary of bulk [405] and thin-film Li2O–V2O5–SiO2 (LVSO) deposited via PLD [404,405] and RF sputtering [319].
Applsci 10 04727 g012
Figure 13. Conductivity summary of bulk [412] and thin-film LiPON deposited via PLD [411,412], RF sputtering [179,409,410] and ALD [413].
Figure 13. Conductivity summary of bulk [412] and thin-film LiPON deposited via PLD [411,412], RF sputtering [179,409,410] and ALD [413].
Applsci 10 04727 g013
Figure 14. Conductivity summary of bulk [426] and thin-film LixLa2/3−1/3xTiO3 (LLTO) deposited by PLD [414,416], RF sputtering [422] and sol–gel method [421].
Figure 14. Conductivity summary of bulk [426] and thin-film LixLa2/3−1/3xTiO3 (LLTO) deposited by PLD [414,416], RF sputtering [422] and sol–gel method [421].
Applsci 10 04727 g014
Figure 15. Conductivity summary of bulk [324] and thin-film LLZO deposited by PLD [324,430,431,437], RF sputtering [59,432], sol–gel [433,434,435] and CVD [57].
Figure 15. Conductivity summary of bulk [324] and thin-film LLZO deposited by PLD [324,430,431,437], RF sputtering [59,432], sol–gel [433,434,435] and CVD [57].
Applsci 10 04727 g015
Table 1. Comparison of the discharge capacity among different anode materials.
Table 1. Comparison of the discharge capacity among different anode materials.
MaterialDischarge Rate (C)Current Density (mA/cm2)Initial Discharge Capacity (mAh/g)Ref.
Si0.05 2834[93]
Si0.2 2433[95]
Si 0.23000[96]
Si 2004122[98]
Si0.5 4021[100]
Si0.05 3400[101]
Li1.25H1.63Ti2O5.44−σ35 130[113]
TiO210 131[109]
TiO20.1 343[112]
TiO20.1 320[115]
TiO2@α–Fe2O3 100800[116]
Cu0.8LTO0.1 209[110]
TNO100 184[119]
TNO1 195[121]
TNO50 230[122]
TNO0.1 281[123]
TNO0.1 289[124]
Table 2. Comparison of the discharge capacity among different cathode materials.
Table 2. Comparison of the discharge capacity among different cathode materials.
MaterialDopingDischarge Rate (C)Initial Discharge Capacity (mAh/g)Ref.
LCOBa, Ti0.2190.5[128]
LCOLa, Al0.1190[129]
LMOY0.5120[138]
LMOCe1101[139]
LNVO 0.0280[141]
LNVO 0.130[142]
NMC-811Ta0.067212[157]
LiNi0.83Co0.11Mn0.6O2 1200[158]
NMC-811LiPO4-AlPO4-Al(PO3)30.1218[171]
Li1.14Ni0.14Co0.14Mn0.54O2La(PO3)30.1286[172]
NMC-811LiTa2PO80.1231[173]
Table 3. Comparison of the ionic conductivities of different solid electrolytes.
Table 3. Comparison of the ionic conductivities of different solid electrolytes.
CategoryElectrolyteIonic Conductivity (S/cm)Activation Energy (eV)Temperature (°C)Ref.
NASICONLi1+xAlxTi2−x(PO4)3 (x = 0.2)3.4 × 10−30.28RT[174]
NASICONLi1+xAlxGe2−x(PO4)3 (x = 0.2)10−40.38RT[175]
NASICONLi1+xAlxGe2−x(PO4)3 (x = 0.5)4.22 × 10−30.5127[176]
LISICONLi3.6Ge0.6C0.4O44 × 10−50.44RT[176]
LISICONLi10.42Ge1.5P1.5Cl0.8O11.923.7 × 10−50.3927[177]
Thio-LISICONLi3.25Ge0.25P0.75S42.2 × 10−30.21RT[178]
LIPONLi3.3PO3.9N0.172.6 × 10−60.5625[179]
LIPONLi3.2PO3.0N1.03.1 × 10−60.57RT[180]
LIPONLi3.13PO1.69N1.394.9 × 10−60.5522[181]
PerovskiteLi0.34La0.51TiO2.942 × 10−50.42RT[182]
PerovskiteLi0.27Sr0.063La0.54TiO34.84 × 10−40.29RT[183]
PerovskiteLi0.43La0.56Ti0.95Ge0.05O31.2 × 10−5 RT[184]
PerovskiteLi0.38Sr0.44Ta0.75Zr0.25O32.0 × 10−40.2630[185]
PerovskiteLi3/8Sr7/16Ta3/4Zr1/4O32.7 × 10−40.3627[186]
PerovskiteLi3/8Sr7/16Hf1/4Ta3/4O33.8 × 10−40.3625[187]
PerovskiteLi3/8Sr7/16Ta3/4Hf1/4O35.2 × 10−40.3325[188]
GarnetLi7La3Zr2O122 × 10−50.2630[189]
GarnetLi5La3Nb2O1210−60.4325[190]
GarnetLi5La3Ta2O1210−60.5625[191]
GarnetLi7La2Zr2O127.74 × 10−40.32RT[55]
GarnetLi6.75La3Zr1.75Ta0.25O128.7 × 10−40.2225[191]
GarnetLa3Zr2Li6.55Ga0.15O121.3 × 10−30.324[192]
LiRAPLi3OCl0.5Br0.51.94 × 10−30.2RT[193]
LiRAPLi2(OH)0.9F0.1Cl3.5 × 10−50.52RT[194]
SulfideLi10GeP2S121.7 × 10−20.18RT[51]
SulfideLi9.54Si1.74P1.44S11.7Cl0.32.5 × 10−20.2425[27]
SulfideLi6PS5I2.2 × 10−40.26RT[195]
SulfideLi22SiP2S183 × 10−3 [196]
ArgyroditeLi5.3PS4.3Br1.71.1 × 10−20.1825[197]
ArgyroditeLi5.5PS4.5Cl1.510−20.2725[198]
RT = room temperature.
Table 4. Comparison of the interface resistance with (w/) and without (w/o) buffer layer on solid-state electrolyte/cathode.
Table 4. Comparison of the interface resistance with (w/) and without (w/o) buffer layer on solid-state electrolyte/cathode.
ElectrodeElectrolyteBuffer LayerCoating MethodInterface Resistance w/ Buffer Layer (Ω·cm2)Interface Resistance w/o Buffer Layer (Ω·cm2)Ref.
LCOLLZO–NbLi3BO3Screen printing230 [238]
LCOLi3.25Ge0.25P0.75S4LTOSpray coating34.56714.71[256]
LCOLi10SnP2S12Li3NbO4ALD790 [302]
LCOLiBH4Li3PO4PLD83850[303]
LCOLiBH4LiNbO3PLD47.36 [303]
LCOLiBH4Al2O3PLD599.45 [303]
LCO80Li2S·20P2S5Li3PO4PLD250 [305]
LMOLi3.25Ge0.25P0.75S4LiNbO3Spray coating157.087854[301]
NMC-532Li7(Al0.1)La3Zr2O12LCOSputtering1004.53 [298]
NMC-811/LCOLi10GeP2S12LiNbO3Solution coating39.8295.93[307]
NMC-532Li6.75La3Zr1.75Ta0.25O12Li–Ti–OSolution coating7461.39817.5[296]
NMC-811Li10GeP2S12LiNbO3Solution coating125.6204.2[308]
Table 5. Comparison of the interface resistance with (w/) and without (w/o) buffer layer on solid-state electrolyte/anode.
Table 5. Comparison of the interface resistance with (w/) and without (w/o) buffer layer on solid-state electrolyte/anode.
ElectrodeElectrolyteBuffer LayerCoating MethodInterface Resistance w/ Buffer Layer (Ω·cm2)Interface Resistance w/o Buffer Layer (Ω·cm2)Ref.
Li metalLi7La2.75Ca0.25Zr1.75Nb0.25O12Al2O3ALD11710[255]
Li metalLi5La3Ta2O12ZnOALD202000[88]
Li metalLi1.3Al0.3Ti1.7(PO4)3Al2O3ALD117,810314,160[311]
Li metalLi6.28Al0.24La3Zr2O12LiNbO3sputtering911078[312]
Li metalLi6.4La3Zr1.4Ta0.6O12ITOsputtering321192[314]
Table 6. Comparison of the discharge capacity among different anode materials.
Table 6. Comparison of the discharge capacity among different anode materials.
MaterialsCrystalline StructureDischarge Rate (C)Current Density (μA/cm2)Discharge Capacity (mAh/g)Density (g/cm3)Discharge Capacity (μAh/cm2 μm)Ref.
LTO a(111)-Oriented 101573.3853[348]
LTO a(111)-Oriented 601463.3849[348]
LTO a(100) Epitaxial3 3133.38106[349]
LTO a(110) Epitaxial3 2773.3894[349]
LTO a(111) Epitaxial3 2833.3896[349]
LTO b(111)-Oriented0.5 73.3825[350]
LTO b(111)-Oriented95 623.3821[350]
LTO c(111)-Oriented 101103.3837[354]
LNVO aAmorphous 1009794.19410[365]
LNVO bAmorphous 10018784.19787[359]
LNVO bAmorphous 7511004.19461[358,360]
LNVO bPolycrystalline 758504.19356[358,360]
TNO aPolycrystalline 353984.42176[361]
TNO aPolycrystalline 503244.42143[361]
Bold discharge capacity values in (mAh/g) are back-calculated from the data in (μAh/cm2 μm). Materials with superscript a, b and c represent the corresponding thin films deposited by PLD, radio frequency (RF) sputtering and CVD, respectively.
Table 7. Comparison of the discharge capacity among different cathode materials.
Table 7. Comparison of the discharge capacity among different cathode materials.
MaterialsCrystalline StructureDischarge Rate (C)Current Density
(μA/cm2)
Discharge Capacity
(mAh/g)
Density
(g/cm3)
Discharge Capacity
(μAh/cm2 μm)
Ref.
LCO a(104) Epitaxial100 235.0313[373]
LCO a(104) Epitaxial0.01 905.0345[373]
LCO bAmorphous 30495.0325[375]
LCO b(100)-Oriented 301205.0361[375]
LCO cPolycrystalline 0.5505.0325[377]
LiNi0.5Mn0.25Co0.25O2 bPolycrystalline 1174.958[394]
LiNi0.3Mn0.3Co0.3O2 bPolycrystalline0.1 1274.962[392]
LiNi0.5Mn0.3Co0.2O2 aPolycrystalline0.5 1254.961[393]
LMO a(100) Epitaxial0.7 1294.3256[384]
LMO a(100) Epitaxial13 1004.3243[384]
LMO a(100) Epitaxial33 844.3236[384]
LMO a(110) Epitaxial0.7 1134.3249[384]
LMO a(110) Epitaxial13 504.3222[384]
LMO a(111) Epitaxial0.1 954.3241[384]
LMO a(111) Epitaxial13 504.3222[384]
LMO dAmorphous0.1 614.3226[101]
LMO dPolycrystalline0.1 704.3230[101]
LMO ePolycrystalline 100884.3238[390]
LMO fPolycrystalline 50804.3235[391]
Bold discharge capacity values in (mAh/g) are back-calculated from the data in (μAh/cm2 um). Materials with superscript a, b, c, d, e and f represent the corresponding thin films deposited by PLD, RF sputtering, atomic layer deposition (ALD), blade coating, sol–gel and CVD, respectively.

Share and Cite

MDPI and ACS Style

Yang, G.; Abraham, C.; Ma, Y.; Lee, M.; Helfrick, E.; Oh, D.; Lee, D. Advances in Materials Design for All-Solid-state Batteries: From Bulk to Thin Films. Appl. Sci. 2020, 10, 4727. https://doi.org/10.3390/app10144727

AMA Style

Yang G, Abraham C, Ma Y, Lee M, Helfrick E, Oh D, Lee D. Advances in Materials Design for All-Solid-state Batteries: From Bulk to Thin Films. Applied Sciences. 2020; 10(14):4727. https://doi.org/10.3390/app10144727

Chicago/Turabian Style

Yang, Gene, Corey Abraham, Yuxi Ma, Myoungseok Lee, Evan Helfrick, Dahyun Oh, and Dongkyu Lee. 2020. "Advances in Materials Design for All-Solid-state Batteries: From Bulk to Thin Films" Applied Sciences 10, no. 14: 4727. https://doi.org/10.3390/app10144727

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop