Next Article in Journal
Multi-Scale Simulation of Hyperbranched Polymers
Next Article in Special Issue
From Self-Assembled Monolayers to Coatings: Advances in the Synthesis and Nanobio Applications of Polymer Brushes
Previous Article in Journal
Keratin/Polyvinyl Alcohol Blend Films Cross-Linked by Dialdehyde Starch and Their Potential Application for Drug Release
Previous Article in Special Issue
Non-Stoichiometric Polymer-Cyclodextrin Inclusion Compounds: Constraints Placed on Un-Included Chain Portions Tethered at Both Ends and Their Relation to Polymer Brushes
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Block Co-Polymers for Nanolithography: Rapid Microwave Annealing for Pattern Formation on Substrates

by
Dipu Borah
1,2,*,
Sozaraj Rasappa
1,2,
Ramsankar Senthamaraikannan
1,2,
Justin D. Holmes
1,2,3 and
Michael A. Morris
1,2,3,*
1
Materials Chemistry Section, Department of Chemistry, University College Cork, College Road, Cork, Ireland
2
Centre for Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, College Green, Dublin 2, Ireland
3
Tyndall National Institute, Lee Maltings, Prospect Row, Cork, Ireland
*
Authors to whom correspondence should be addressed.
Polymers 2015, 7(4), 592-609; https://doi.org/10.3390/polym7040592
Submission received: 9 December 2014 / Revised: 6 March 2015 / Accepted: 23 March 2015 / Published: 30 March 2015
(This article belongs to the Special Issue Advances in Polymer Brushes)

Abstract

:
The integration of block copolymer (BCP) self-assembled nanopattern formation as an alternative lithographic tool for nanoelectronic device fabrication faces a number of challenges such as defect densities, feature size, pattern transfer, etc. Key barriers are the nanopattern process times and pattern formation on current substrate stack layers such as hard masks (e.g., silicon nitride, Si3N4). We report a rapid microwave assisted solvothermal (in toluene environments) self-assembly and directed self-assembly of a polystyrene-block-polydimethylsiloxane (PS-b-PDMS) BCP thin films on planar and topographically patterned Si3N4 substrates. Hexagonally arranged, cylindrical structures were obtained and good pattern ordering was achieved. Factors affecting BCP self-assembly, notably anneal time and temperature, were studied and seen to have significant effects. Graphoepitaxy within the topographical structures provided long range, translational alignment of the patterns. The effect of surface topography feature size and spacing was investigated. The solvothermal microwave based technique used to provide periodic order in the BCP patterns showed significant promise and ordering was achieved in much shorter periods than more conventional thermal and solvent annealing methods. The implications of the work in terms of manufacturing technologies are discussed.

Graphical Abstract

1. Introduction

Block copolymer (BCP) lithography [1] is a “bottom-up” process relying on microphase separation of BCP thin films [2,3,4] to form highly ordered block arrangements as a nanopattern and offers promise for the fabrication of sub-10 nm feature sizes. Excellent control over pattern dimension and structure can be achieved in BCP systems through variation of the molecular weight (N), relative volume fraction (ɸ) and the segmental interaction parameter (χ) and a number of different morphological structures viz., lamellar, cylindrical, spherical, gyroidal, etc., [5,6] can all be formed. There are a number of challenges which must be addressed if BCP lithography is to be implemented into a manufacturing technology. Most notably, these include: (i) pattern alignment (to a surface feature or direction) and orientation (to the surface plane), (ii) achieving patterns with long range translational order and low defectivity and (iii) achieving ultra-small, scalable pitch and feature sizes. Whilst significant progress has been made in these areas, less addressed are problems associated with their processability and with transferring these promising materials to the industrial environment. Two issues of key interest are the time taken to induce long range order in the BCP thin films and substrate surfaces (other than simple silicon termination) which are routinely used in conventional UV-photolithography. Hard masks such as silicon nitride (Si3N4) are used in substrate stacks that allow pattern transfer from low-dimension, thin polymer patterns to the substrate with high fidelity and aspect ratio [7,8,9].
This work focuses on two of these issues. Firstly, the use of plasma etching to pattern transfer lithographically defined polymer topographies to the substrate is necessitated by the relatively poor etch resistance of polymers [8,9,10]. Whilst the majority of BCP work focusses on pattern formation at silicon substrates, it is becoming clear that the BCP pattern will be required to be transferred to a hard-mask layer before the device layer is patterned. Si3N4 is a well-used hard mask and is investigated in detail here. There are reports of the fabrication of ultrathin Si3N4 membrane by BCP lithography [8,9], however, directed self-assembly of BCPs has yet to be demonstrated on Si3N4 substrates. Secondly, there is a clear need to develop pattern formation techniques that are commensurate with the high wafer throughput used in the semiconductor industry [10,11]. Here, we apply the use of the emerging microwave assisted solvothermal method (work in this area is in its infancy compared to more established methods of inducing self-assembly [2,3,4]) to promote self-assembly of a polystyrene-block-polydimethylsiloxane (PS-b-PDMS) BCP at Si3N4 substrates and demonstrate the usefulness of this approach. This BCP has particular relevance because of its high Flory-Huggins parameter (χ) [12] which allows sub-10 nm feature size scaling [13,14,15,16], whilst high etch selectivity between PS and PDMS [17] facilitates selective removal of PS to form topographical silica-like patterns [3,4,18,19,20].

2. Experimental Section

2.1. Materials

The PS-b-PDMS BCP of cylindrical morphology and hydroxyl-terminated PDMS homopolymer brush used in the present investigation were purchased from Polymer Source, Inc., Montreal, Canada and the detailed characteristics are summarized in Table 1. The substrates used were a low pressure chemical vapour deposition (LPCVD) deposited silicon nitride (Si3N4) coated substrate (resistivity, ρ = 1014–1016 Ω·cm) on p-type silicon <100> with a surface SiO2 layer ~7 nm thick. The topographically patterned Si3N4 substrates with pitches in the range of 75–500 nm, variable mesa widths of 50–1500 nm and depth of 60 nm were fabricated via 193 nm UV-lithography and processed by means of conventional mask and etch techniques. Sulfuric acid (H2SO4), hydrogen peroxide (H2O2), ethanol, acetone, iso-propanol (IPA) and toluene were purchased from Sigma-Aldrich and used as received. Deionized (DI) water was used wherever necessary.
Table 1. Characteristics of polymers used for present study.
Table 1. Characteristics of polymers used for present study.
Mn/g·mol−1PolymerPolydispersity index, Mw/MnPS mole fraction, fPS
5,000PDMS-OH1.07-
42,000PS-b-PDMS1.100.72
Mw: weight average molecular weight, Mn: number average molecular weight; f: mole fraction.

2.2. Polymer Brush Pre-Coating

Diced substrates (coupons) of area 0.5 cm2 were degreased by ultrasonication (Cole-Parmer 8891 sonicator, Cole-Parmer, Vernon Hills, IL, USA) in acetone and IPA solutions for 5 min each, dried in flowing N2 gas and baked for 2 min at 120 °C in an ambient atmosphere to remove any residual IPA. This was followed by cleaning in a piranha solution (1:3 v/v 30% H2O2:H2SO4—caution is advised as because of reactivity with organic materials) at 90 °C for 60 min, rinsed with DI water, acetone, ethanol and dried under N2 flow. A hydroxyl-terminated polymer brush solution (1.0 wt% in toluene) was spin-coated (P6700 Series Spin-coater, Speciality Coating Systems, Inc., Indianapolis, IN, USA) onto substrates at 3000 rpm for 30 s. Samples were annealed in a vacuum oven (Townson & Mercer EV018, Townson & Mercer, Manchester, UK) at 170 °C. This procedure provided chemically anchored brushes by condensation reactions between –OH groups at the substrate surface and on the brush. Unbound polymers were removed by ultrasonication and rinsing in toluene and then dried for 30 min at 60 °C in an ambient atmosphere to remove any residual toluene. As will be seen below, the brush deposition was highly successful producing hydrophobic surfaces ideal for BCP deposition. In this way, the Si3N4 surface behaves in a very similar way to bare silicon.

2.3. Microwave Annealing of BCP Films

A 1.0 wt% toluene solution of PS-b-PDMS was spin-coated onto the brush anchored surfaces at 3200 rpm for 30 s and immediately used for microwave irradiation. Microwave annealing experiments were performed in a microwave synthesizer, CEM Discover LabMate (CEM Microwave Technology Ltd., Buckingham, UK) with the IntelliVent™ Pressure Control System and CEM’s Synergy™ software. The system focuses microwaves into a sample cavity. The system was computer controlled using CEM’s Synergy™ software so that power, temperature, time and pressure could be precisely controlled. The BCP coated substrates were placed inside the reaction chamber containing toluene (anneal solvent) and irradiated with microwave energy Scheme 1 illustrates the microwave assisted solvothermal BCP self-assembly process. Target temperature control was achieved through feedback of the microwave power. Stable temperatures were reached within 20–100 s depending upon the target temperature. The anneal time (30–360 s) was defined after the target temperature was achieved. Note that the reaction vessel took about 30–100 s to cool to ambient conditions after heating. During this period, some organization and assembly of the BCP film is expected. De-wetting of the BCP film was not observed in any of the experiments.
Scheme 1. Schematic showing the process of microwave annealing of BCP films in the presence of solvent in a microwave synthesizer to achieve BCP ordering.
Scheme 1. Schematic showing the process of microwave annealing of BCP films in the presence of solvent in a microwave synthesizer to achieve BCP ordering.
Polymers 07 00592 g010

2.4. Plasma Etching of BCP Films

These films were difficult to study using AFM (DME 2452 DualScope Scanner DS 95, DME Nanotechnologie GmbH, Braunschweig, Germany) or SEM (Zeiss Ultra Plus-SEM, Carl Zeiss Microscopy Ltd., Cambridge, UK) because of the presence of a surface wetting layer of PDMS which had be removed to reveal the BCP arrangement [17,21]. Samples were subjected to inductively coupled plasma (ICP) and reactive ion etches in CF4 to remove any surface PDMS layer. This was followed by an O2 plasma etch to remove PS and affect oxidation of the PDMS to yield silica-like topographies indicative of the original BCP pattern. These steps follow similar methodology developed by Ross et al. [17] and full etch details are available elsewhere [3,4,18,19,20].

2.5. Characterization of Materials

Advancing contact angles (θa) of deionized water on the substrates were measured using a Data Physics Contact Angle OCA15 (DataPhysics Instruments GmbH, Filderstadt, Germany) goniometer. Contact angles (reproducible to within 1.5°) were measured on the opposite edges of at least five drops and averaged. PDMS brush layer and BCP thin film thickness were determined by ellipsometery (Plasmos SD2000 Ellipsometer, Philips Analytical Technology GmbH, Hamburg, Germany) at five locations on the sample surface and averaged. An Infrared Thermometer (SMART SENSOR, AR330 (−32–330 °C), Polarich Industrial Inc., Hong Kong, China) was used to measure the actual temperature of the substrates in the (BCP + substrate) systems immediately after the microwave experiment. A Varian IR 610 (Agilent Technologies UK Ltd., Stockport, UK) infrared spectrometer was used to record the FTIR spectra in the spectral range of 4000–500 cm−1, with a resolution of 4 cm−1 and a 32 scan data average. Scanning electron microscope (SEM) images were obtained by a high resolution (<1 nm) Field Emission Zeiss Ultra Plus-SEM with a Gemini® column operating at an accelerating voltage of 5 kV. An FEI Strata 235-Focused Ion Beam (FIB) tool (FEI UK Ltd., Cambridge, UK) was used to generate FIB lamellae cross-sections. E-beam produced platinum was deposited at the substrate followed by the ion-beam deposited platinum. Milling and polishing of the samples were carried out at the lower aperture size and the specimen was imaged under the higher resolution Zeiss Ultra Plus-SEM (Carl Zeiss Microscopy Ltd., Cambridge, UK). The transmission electron microscope (TEM) lamella specimen were prepared by the Zeiss Auriga-FIB (Carl Zeiss Microscopy Ltd., Cambridge, UK) with a Cobra ion column having a unique 2.5 nm resolution and were analyzed by FEI Titan-TEM (FEI UK Ltd., Cambridge, UK) operating at an accelerating voltage of 130 kV.

3. Results

3.1. Self-Assembly on Planar Si3N4 Substrates

Microphase separation in cylindrical PS-b-PDMS at silicon substrates necessitates use of a PDMS-OH brush to control surface wetting and pattern orientation. The brush results in pattern formation with a wetting PDMS layer at the gas-surface and substrate-BCP interface because of the low surface energy of PDMS and favorable PDMS-PDMS interactions at the brush–BCP interface [3,17,21]. The formation of this sandwich structure strongly promotes the formation of a BCP pattern where the PDMS cylinders are orientated parallel to the surface plane since any vertical orientation will lead to significant increases in surface energy. As can be seen in Table 2, the use of the brush considerable increases the water contact angle of the substrate from quite hydrophilic values to those closer to the hydrophobicity of PDMS. Figure 1a shows oxidized PDMS cylinders (as revealed by the plasma etch) formed from the BCP PS-b-PDMS film on a PDMS-OH brush coated (thickness, ~4 nm (Table 2)) planar Si3N4 substrate following microwave processing (50 °C for 30 s). The high resolution cross-section TEM image in Figure 1b shows a single monolayer of PDMS cylinders and demonstrates the efficacy of the etch chemistry to reveal the cylindrical patterns (~16 nm in diameter). It is clear from the data in Figure 1a,b that well-ordered phase separation is seen over macroscopic distances (see Figure A1 for a lower magnification SEM image showing large area self-assembly). Note that no ordered self-assembly is seen in similar films exposed to the same solvent at the same temperature in the absence of microwave irradiation (see Figure A2). This suggests that the microwave annealing method promotes sufficient molecular motion for the BCP to reach its’ thermodynamic minimum. The mean PDMS cylinder spacing (cylinder centre-to-centre), L0, and line width, <d>, were found to be 33.0 nm and 16.0 nm, respectively. It can also be seen from the image that the oxidized PDMS domains are somewhat rounded during the etch process but this may reflect the shape of the original PDMS cylinders rather than an etch-related process. The oxidation of the PDMS cylinders during this etch step is confirmed by FTIR with the detection of a Si–O–Si signal at 1100 cm−1 [22] as displayed in Figure 1c. De-wetting is a major issue with high χ BCP systems such as PS-b-PDMS and this can lead to the formation of BCP island structures at the substrate surface upon solvent annealing this polymer for extended times [23]. However, it should be noted that the 1.0 wt% BCP in toluene solution used in film casting provided a continuous film (film thickness, ~34 nm (Table 2)), i.e., close to the 33 nm BCP domain spacing) and de-wetting was not observed after microwave irradiation. The formation of a highly coherent film is related in part to the interfacial energy similarity of the PDMS–brush surface and the segregated BCP film (PDMS sandwich structure). However, thicker films showed significant roughness and de-wetting and we believe that the additional thermodynamic stability of the single cylinder “monolayer” film structure [3,4] plays an important role in the coherence of these films. This coherence is a significant advantage for application of these films (particularly for subsequent pattern transfer to underlying silicon substrate that would be needed in industry). It should also be noted that the good wetting of the substrate might be related to the efficient heating of the sample and short treatment periods that limit solvent condensation [23]. It should be emphasized that the regularity and structural order of the patterns indicate that the surface interactions between the BCP and the brush coated surface are significantly robust to survive the microwave processing and, in particular, thermal and mechanical strains that must exist at the interfaces.
Table 2. Measured water contact angle and film thickness (ellipsometery) of various films at planar substrates. The table also gives the BCP domain size (pitch) as measured by SEM.
Table 2. Measured water contact angle and film thickness (ellipsometery) of various films at planar substrates. The table also gives the BCP domain size (pitch) as measured by SEM.
MaterialDeposition conditionContact angle (°)Thickness (nm)Spacing (nm)
Si3N4/Si substrateas received46 ± 2--
Si3N4/Si substratepiranha cleaned32 ± 2--
PDMS-OH + Si3N4/Si substrateannealed/cleaned109 ± 24.1-
BCP + PDMS-OH + Si3N4 substrateas-cast BCP film (1.0 wt%)-34.333.0
Figure 1. (a) Top-down SEM image of the PS-b-PDMS structure at a planar Si3N4 substrate following microwave treatment at 50 °C for 30 s in toluene (light grey lines are oxidized PDMS and darker lines are voids created following partial PS removal); (b) High resolution cross-section TEM image of the oxidized PDMS cylinders structure in (a); (c) FTIR spectrum of the oxidized PDMS cylinders.
Figure 1. (a) Top-down SEM image of the PS-b-PDMS structure at a planar Si3N4 substrate following microwave treatment at 50 °C for 30 s in toluene (light grey lines are oxidized PDMS and darker lines are voids created following partial PS removal); (b) High resolution cross-section TEM image of the oxidized PDMS cylinders structure in (a); (c) FTIR spectrum of the oxidized PDMS cylinders.
Polymers 07 00592 g001

3.2. Effect of Anneal Time on Self-Assembly

The effect of microwave anneal time of the microphase separation of PS-b-PDMS is shown in Figure 2. Anneal times were varied from 15–360 s at a constant temperature of 50 °C. It can be seen immediately that shorter anneal periods give more regular patterns with greater domain persistence lengths and lower dislocation and disclination (examples shown in figure) defect densities. This disorder obviously represents kinetic effects. It is suggested that initial microwave treatment results in the formation of regular patterns since as spun films showed no long-range order. At longer time periods, the film appears to move through an order-disorder transition and becomes significantly less well-ordered [24,25]. This may be explained by increased solvent swelling as the exposure to solvent during irradiation is increased. It is well known that the presence of solvent molecules decreases the repulsive interactions of the blocks and leads to a decrease in χ [26] and subsequently higher defect densities. For these BCP films, L0 and <d> were very similar to the values noted above and at average values of 32.7 nm and 16.0 nm, respectively. The similarity of the values suggests that the majority of the solvent evaporates on removal from the microwave/solvent environment.
Figure 2. Top-down SEM images of the PS-b-PDMS pattern formed via microwave annealing in the presence of toluene at a target temperature of 50 °C for different time periods as labelled on the images.
Figure 2. Top-down SEM images of the PS-b-PDMS pattern formed via microwave annealing in the presence of toluene at a target temperature of 50 °C for different time periods as labelled on the images.
Polymers 07 00592 g002

3.3. Effect of Anneal Temperature on Self-Assembly

As could be expected, nanopattern formation via BCP self-assembly is influenced by the anneal temperature. Figure 3 shows the temperature evolution of PS-b-PDMS BCP ordering in the temperature range of 50–150 °C at a chosen time of 30 s. This time period was judged to be optimal based on the data provided above in Figure 2. In Figure 3, it is evident that the correlation length of the in-plane PDMS cylinders decreases and the number of defects increase with the temperature used. Small changes in the dimensions of the pattern were also observed with a minor increase in L0 and a small decrease in <d> being observed with increasing temperature. The values at the highest temperatures were found to be 33.4 nm and 15.8 nm, respectively. These data can be relatively easily explained by the solvent swelling effects used to explain the time evolution of the patterns as discussed above. Solvent swelling is expected to increase with temperature [27,28,29] and one might expect a continual decrease in the Flory-Huggins interaction parameter [28,30] as temperature increases. That said, there may also be a true order-disorder temperature (representing the equilibrium nature of the microphase separation process). However, we favor the solvent swelling explanation based on the dimensions of these films. The solvent used for annealing was toluene which strongly favors the PS component. During solvo-microwave annealing, one would thus expect increased solvent swelling to increase the volume of the PS matrix (expanding the cylinder-cylinder spacing) which would then result in a compressive stress on the PDMS cylinders reducing their diameter. During sample removal, the system does not have significant time to completely relax towards the dimensions recorded above.
Figure 3. Top-down SEM images of the PS-b-PDMS pattern formed via microwave annealing in the presence of toluene for 30 s at different target temperatures as labelled on the images.
Figure 3. Top-down SEM images of the PS-b-PDMS pattern formed via microwave annealing in the presence of toluene for 30 s at different target temperatures as labelled on the images.
Polymers 07 00592 g003
Further, we recorded the temperature profiles from the in-build sensor in the microwave chamber (Figure 4) in order to understand the effect of substrate temperature during microwave anneal on self-assembly. Representative data shown in Figure 4 reveal that the actual temperature remains very close to the set temperature in an annealing experiment suggesting that local heating effects at the surface were not important. This was further verified by measuring the actual temperature of the substrates in the (BCP + substrate) system using an infrared thermometer. It has been found that the measured substrate temperatures were only 2–5 degrees lower (within experimental error) than the set temperature in the microwave experiment. These temperature data substantiate that the substrate remains in equilibrium with the set temperature of the microwave experiment and the singular responsibility of the microwave irradiation in driving BCP self-assembly.
Figure 4. (a) Schematic showing the microwave chamber with temperature sensor for recording the temperature profiles during microwave anneal. Representative temperature profiles of the (BCP + substrate) system at (b) 50 °C and (c) 90 °C for 60 s.
Figure 4. (a) Schematic showing the microwave chamber with temperature sensor for recording the temperature profiles during microwave anneal. Representative temperature profiles of the (BCP + substrate) system at (b) 50 °C and (c) 90 °C for 60 s.
Polymers 07 00592 g004

3.4. Directed Self-Assembly by Microwave Irradiation

The true potential of BCP self-assembled patterns can be realized only if long-range periodic and translational alignment can be achieved [31]. Directed self-assembly of PS-b-PDMS on topographic patterns has been demonstrated in a number of articles [3,4,19,21,32,33,34,35,36,37,38]. Further, Buriak et al. has shown the effectiveness of microwave assisted method to guide cylinder-forming PS-b-PMMA and PS-b-P4VP BCPs on topographically patterned substrates [39]. Microwave assisted graphoepitaxial alignment of PS-b-PDMS was attempted here in order to properly define the usefulness of the methodology for producing patterns at Si3N4 substrates. The BCP coated substrate was placed in a reaction tube partially filled with solvent (described in Scheme 1), sealed and then irradiated with microwave energy and the directed self-assembly process steps are shown in Scheme 2. Top-down SEM image of a section of the patterned Si3N4 substrate along with corresponding TEM cross-sections are provided in Figure A3. The patterned Si3N4 substrates were pre-coated with the PDMS-OH polymer brush as described above for planar wafers prior to BCP deposition. Samples were microwave annealed at 50 °C for 30 s in the presence of toluene and then subjected to sequential CF4 and O2 etches to reveal the PDMS cylinders. Data presented in Figure 5 and Figure 6 show that the brush induced microphase separation with the cylinders of the hexagonal structure preferentially aligned to the topographic channel direction. The observation of parallel alignment of the PDMS domains along the trenches is consistent with the thermodynamically most favorable graphoepitaxial alignment to minimize the strain in the microdomain lattice [14,16].
From Figure 5 and Figure 6, it can be immediately seen that the film deposition results in quite different patterns in different types of topography. When the mesa and channel widths are relatively small, the BCP is mainly confined to the channels whilst the mesas are largely void of the polymer (Figure 5). In contrast, as per Figure 6, the BCP is not confined to the channels and significant polymer resides at the mesas (i.e., the exterior surface of the patterns). Measurement of the final thickness of the BCP film on the trenches and on the mesas revealed that the film is relatively thicker on the trenches (~36 nm) compared to the mesa (~32 nm). The results were highly reproducible on different substrates and different substrate regions. It is suggested that this is due to complex mechanical effects that are manifest on these topographically patterned substrates during spin-coating and are due to the surface roughness of the as-spun films. Spin-coating will tend to produce films that follow the surface topography, i.e., a series of hills and valleys. The film topography would be expected to have high surface energy due to the roughness (although note that the bare topographically patterned surface is innately of high surface energy but is mechanically robust). At the smaller channel/mesa dimensions, the additional surface energy will become increasingly significant in comparison to a uniform thickness polymer film due to the increased slopes of the features and the density of the features. We thus suggest, that at the smaller dimensions, the surface energy of the surface formed during spin-coating provides a driving force towards planarization of the film and only the channels are filled with little polymer at the surface of the mesas. For the larger channel/mesa dimensions, the surface roughness and surface area are much reduced and the valley sides much less sharp and mechanically more robust. In this way, the more expected undulating film structure is observed. During solvent annealing, this effect is compounded by mass transport limitations but we believe this is a secondary effect as even very long solvent anneal times did not significantly change the distribution of the polymer. The quite different film morphologies combined with dimensional effects result in quite markedly different BCP alignment.
Figure 5. Top-down SEM images of the PS-b-PDMS pattern (as revealed by CF4 and O2 etches) formed on PDMS-OH brush modified patterned Si3N4 substrates. Data shown are with Si3N4 mesa and channel widths of (a) 100 nm and 50 nm; (b) 100 nm and 100 nm; (c) 200 nm and 150 nm; and (d) 50 nm and 200 nm.
Figure 5. Top-down SEM images of the PS-b-PDMS pattern (as revealed by CF4 and O2 etches) formed on PDMS-OH brush modified patterned Si3N4 substrates. Data shown are with Si3N4 mesa and channel widths of (a) 100 nm and 50 nm; (b) 100 nm and 100 nm; (c) 200 nm and 150 nm; and (d) 50 nm and 200 nm.
Polymers 07 00592 g005
Figure 6. Top-down SEM images of the PS-b-PDMS pattern formed on PDMS-OH brush modified patterned Si3N4 substrate Data shown are with Si3N4 mesa and channel widths of (a) 500 and 150 nm; (b) 500 and 200 nm; and (c) 1500 and 500 nm, respectively.
Figure 6. Top-down SEM images of the PS-b-PDMS pattern formed on PDMS-OH brush modified patterned Si3N4 substrate Data shown are with Si3N4 mesa and channel widths of (a) 500 and 150 nm; (b) 500 and 200 nm; and (c) 1500 and 500 nm, respectively.
Polymers 07 00592 g006
Scheme 2. Schematic representation of the process flow showing BCP directed self-assembly on Si3N4 substrate pre-coated with PDMS-OH brush and subsequent plasma etching. See text for details.
Scheme 2. Schematic representation of the process flow showing BCP directed self-assembly on Si3N4 substrate pre-coated with PDMS-OH brush and subsequent plasma etching. See text for details.
Polymers 07 00592 g011
In Figure 5, where the Si3N4 channel widths and pitches are within the ranges of 50–200 nm and 50–200 nm, respectively, highly aligned features within the channels can be seen at channel widths of 50, 100, 150 and 200 nm. It should be noted that 50 nm corresponds almost exactly to a value of 1.5 × L0 (assuming L0 = 33.4 nm as measured above) and, thus, the channel sizes reported are near perfect match for a graphoepitaxial alignment of the cylindrical structure and almost defect free arrangements are observed. This is true even when there is clear variation in the topography due to imperfect substrate patterning. It is interesting to note that the cylinders are not formed at the side wall and are separated by the PS matrix. This does seem counter intuitive because of favourable PSMS brush–PDMS BCP interactions. However, we might suggest that a wetting layer of PDMS exists at the channel sidewall similar to that existing at the interface of planar substrates. However, it cannot be resolved in the SEM micrographs due to the chemical similarity (and hence SEM contrast) of the etched PDMS and silica/silicon nitride.
Whilst, alignment is almost perfect in Figure 5, it can be seen in Figure 6 that the BCP pattern became disordered at the mesas when the mesa width was increased to 500 nm. The mesa width seems to be the critical parameter since data shown in Figure 6 include channel widths of 150 and 200 nm where low defectivity alignment was observed at narrow mesa widths. Some alignment is seen in all the images but the defectivity is much higher with dislocation and disclination type defects observed. Since the BCP in the channel and at the mesa is connected, it might be considered that the topographically imposed alignment effects are weakened at the mesas. Further, the mesas are relatively wide compared to L0 and it is, therefore, expected that BCP at the mesas would not be as well aligned. This appears to be true since at the largest mesa width of 1500 nm, the mesa has a “fingerprint” alignment typical of that seen on planar substrates. In this case, this pattern extends through the channel towards the next mesa and little topographical alignment is seen. For lower mesa dimensions (500 nm), the pattern demonstrates a tendency for alignment but there is still pattern extension from channel to mesa.

4. Discussion and Conclusions

The development of materials such as Si3N4 hard masks is critical to continued scaling in the microelectronics area. BCP lithography might afford practical and inexpensive means to pattern substrates without the need to develop very expensive advances in UV photolithography. However, BCP techniques have been seldom applied to Si3N4 materials particularly ones that have been topographically patterned to direct their self-assembly. In this work, we show that Si3N4 substrates can be patterned relatively easily using conventional techniques. This is probably related to the thin silicon oxide films that are present. Graphoepitaxial methods for the directed self-assembly of the BCP proved successful with excellent alignment of the PDMS cylinders observed. The topography used here was consistent with production of low defect densities and further that the variation in channel width controls the number of cylinders within a channel. Interestingly, there appeared to be a critical topographical dimension beyond which the BCP formed a continuous film over the substrate topography (filling channels and mesas) instead of being confined to the topographical channels. Whilst this film arrangement may be readily rationalized, it is clear that these effects can prevent ideal alignment of the structure beyond what might be achieved from the topography which defects at the mesas being radiated into the channels. This deposition related artifact may be critical to the introduction of these techniques into microelectronic fabrication and requires careful attention as suggested by Morris et al. [40] in previous work.
It is also suggested that microwave assisted solvent annealing might be an effective form of processing to yield well-ordered microphase separated BCP patterns. The patterns appear to have similar structure and arrangement to films formed by conventional methods [10,11,12,13]. The technique does not appear to noticeably worsen surface wetting, domain persistence length or alter structural dimensions when compared to similar compositions of BCPs. However, the key advantage is the ability to form these well-ordered patterns in periods of less than a minute and hence be consistent with large scale manufacturing. It should be noted that toluene is not considered as a fab friendly solvent by the microelectronics industry and there is further scope for investigating and developing microwave based methods that use solvents other than toluene (both as casting and anneal solvents).Figure 7

Acknowledgments

Financial support for this work is provided by the EU FP7 NMP project, LAMAND (grant number 245565) project and the Science Foundation Ireland (grant number 09/IN.1/602), and gratefully acknowledged. The support of the SFI CSET, CRANN, for financial and experimental support is also acknowledged.

Appendix

Figure A1. Top-down SEM image (lower magnification) of the PS-b-PDMS structure at a planar Si3N4 substrate following microwave treatment at 50 °C for 30 s in toluene (light grey lines are oxidized PDMS and darker lines are voids created following partial PS removal).
Figure A1. Top-down SEM image (lower magnification) of the PS-b-PDMS structure at a planar Si3N4 substrate following microwave treatment at 50 °C for 30 s in toluene (light grey lines are oxidized PDMS and darker lines are voids created following partial PS removal).
Polymers 07 00592 g007

A1. Experimental Details and Results of Thermal Annealing of PS-b-PDMS BCP Films

BCP films were exposed to the solvent (used in the non-conventional microwave annealing) in glass jars in the temperature range of 50–150 °C for 15–360 s. Thin films were removed from the glass jars placed in the oven immediately after annealing and allowed to cool naturally in ambient. Representative data are presented in Figure A2. The results show little sign of microphase separation particularly at 50 °C and 360 s. However, condensation of solvents and de-wetting of the BCP films were observed at higher temperatures.
Figure A2. Top-down SEM images of the PS-b-PDMS pattern formed via solvent annealing in the presence of toluene in an oven (in the absence of microwave irradiation) for 360 s at different temperatures as labelled on the images.
Figure A2. Top-down SEM images of the PS-b-PDMS pattern formed via solvent annealing in the presence of toluene in an oven (in the absence of microwave irradiation) for 360 s at different temperatures as labelled on the images.
Polymers 07 00592 g008
Figure A3 shows a top-down SEM image of a section of the patterned substrate along with corresponding cross-sections. The inset cross-section SEM image clearly shows three distinct layers in the order Si3N4-SiO2-bulk silicon from top to bottom. A channel depth of ~60 nm can be seen in the image. The high resolution cross-section images of the channel base and top of the mesa shows the presence of a very thin (~1.5 nm) SiO2 layer. This oxide layer might have formed at the time of LPCVD deposition and etch pattern development. This oxide player is probably why the films behave in ways similar to silicon [3,4,19] and provide little barrier to exploitation.
Figure A3. Top-down SEM image of a section of a topographically patterned Si3N4 substrate depicting different pitches. Insets shows high resolution cross-section TEM images and demonstrate the composition and depth profile of the channels.
Figure A3. Top-down SEM image of a section of a topographically patterned Si3N4 substrate depicting different pitches. Insets shows high resolution cross-section TEM images and demonstrate the composition and depth profile of the channels.
Polymers 07 00592 g009

Author Contributions

D.B. and M.A.M. designed the experiments. D.B. and R.S. carried out the experiments. D.B., S.R. and R.S. carried out instrumental analysis. D.B., S.R., R.S., J.D.H. and M.A.M. prepared the manuscript.

Conflicts of Interest

The authors declare there is no competing financial interest.

References

  1. Black, C.T. Polymer self-assembly as a novel extension to optical lithography. ACS Nano 2007, 1, 147–150. [Google Scholar] [CrossRef] [PubMed]
  2. Borah, D.; Rasappa, S.; Senthamaraikannan, R.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. The sensitivity of random polymer brush-lamellar polystyrene-b-polymethylmethacrylate block copolymer systems to process conditions. J. Colloid Interface Sci. 2013, 393, 192–202. [Google Scholar] [CrossRef] [PubMed]
  3. Borah, D.; Rasappa, S.; Senthamaraikannan, R.; Kosmala, B.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. Orientation and alignment control of microphase separated PS-b-PDMS substrate patterns via polymer brush chemistry. ACS Appl. Mater. Interfaces 2013, 5, 88–97. [Google Scholar] [CrossRef] [PubMed]
  4. Borah, D.; Ozmen, M.; Rasappa, S.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. Molecularly functionalized silicon substrates for orientation control of the microphase separation of PS-b-PMMA and PS-b-PDMS block copolymer systems. Langmuir 2013, 29, 2809–2820. [Google Scholar] [CrossRef] [PubMed]
  5. Hamley, I.W. The Physics of Block Copolymers; Oxford University Press: Oxford, UK, 1998. [Google Scholar]
  6. Holden, G.; Legge, N.R.; Schroeder, H.E.; Quirk, R.P. Thermoplastic Elastomers; Hanser: New York, NY, USA, 1996. [Google Scholar]
  7. Park, M.; Harrison, C.; Chaikin, P.M.; Register, R.A.; Adamson, D.H. Block copolymer lithography: Periodic arrays of ~1011 holes in 1 square centimeter. Science 1997, 276, 1401–1404. [Google Scholar] [CrossRef]
  8. Popa, A.-M.; Niedermann, P.; Heinzelmann, H.; Hubbell, J.A.; Pugin, R. Fabrication of nanopore arrays and ultrathin silicon nitride membranes by block-copolymer-assisted lithography. Nanotechnology 2009, 20, 485303. [Google Scholar] [CrossRef] [PubMed]
  9. Montagne, F.; Blondiaux, N.; Bojko, A.; Pugin, R. Molecular transport through nanoporous silicon nitride membranes produced from self-assembling block copolymers. Nanoscale 2012, 4, 5880–5886. [Google Scholar] [CrossRef] [PubMed]
  10. Bang, J.; Jeong, U.; Ryu, D.Y.; Russell, T.P.; Hawker, C.J. Block copolymer nanolithography: Translation of molecular level control to nanoscale patterns. Adv. Mater. 2009, 21, 4769–4792. [Google Scholar] [CrossRef] [PubMed]
  11. Chai, J.; Wang, D.; Fan, X.; Buriak, J.M. Assembly of aligned linear metallic patterns on silicon. Nat. Nanotechnol. 2007, 2, 500–506. [Google Scholar] [CrossRef] [PubMed]
  12. Nose, T. Coexistence curves of polystyrene/poly(dimethylsiloxane) blends. Polymer 1995, 36, 2243–2248. [Google Scholar] [CrossRef]
  13. Park, S.M.; Liang, X.; Harteneck, B.D.; Pick, T.E.; Hiroshiba, N.; Wu, Y.; Helms, B.A.; Olynick, D.L. Sub-10 nm nanofabrication via nanoimprint directed self-assembly of block copolymers. ACS Nano 2011, 5, 8523–8531. [Google Scholar] [CrossRef] [PubMed]
  14. Chang, J.-B.; Son, J.G.; Hannon, A.F.; Alexander-Katz, A.; Ross, C.A.; Berggren, K.K. Aligned sub-10-nm block copolymer patterns templated by post arrays. ACS Nano 2012, 6, 2071–2077. [Google Scholar] [CrossRef] [PubMed]
  15. Son, J.G.; Chang, J.-B.; Berggren, K.K.; Ross, C.A. Assembly of sub-10-nm block copolymer patterns with mixed morphology and period using electron irradiation and solvent annealing. Nano Lett. 2011, 11, 5079–5084. [Google Scholar] [CrossRef] [PubMed]
  16. Voet, V.S.D.; Pick, T.E.; Park, S.-M.; Moritz, M.; Hammack, A.T.; Urban, J.J.; Ogletree, D.F.; Olynick, D.L.; Helms, B.A. Interface segregating fluoralkyl-modified polymers for high-fidelity block copolymer nanoimprint lithography. J. Am. Chem. Soc. 2011, 133, 2812–2815. [Google Scholar] [CrossRef] [PubMed]
  17. Jung, Y.S.; Ross, C.A. Orientation-controlled self-assembled nanolithography using a polystyrene-polydimethylsiloxane block copolymer. Nano Lett. 2007, 7, 2046–2050. [Google Scholar] [CrossRef] [PubMed]
  18. Salaun, M.; Zelsmann, M.; Archambault, S.; Borah, D.; Kehagias, N.; Simao, C.; Lorret, O.; Shaw, M.T.; Sotomayor-Torres, C.M.; Morris, M.A. Fabrication of highly ordered sub-20 nm silicon nanopillars by block copolymer lithography combined with resist design. J. Mater. Chem. C 2013, 1, 3544–3550. [Google Scholar] [CrossRef]
  19. Borah, D.; Senthamariakannan, R.; Rasappa, S.; Kosmala, B.; Holmes, J.D.; Morris, M.A. Swift nanopattern formation of PS-b-PMMA and PS-b-PDMS block copolymer films using a microwave assisted technique. ACS Nano 2013, 7, 6583–6596. [Google Scholar] [CrossRef] [PubMed]
  20. Borah, D.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. Sub-10 nm feature size PS-b-PDMS block copolymer structures fabricated by a microwave-assisted solvothermal process. ACS Appl. Mater. Interfaces 2013, 5, 2004–2012. [Google Scholar] [CrossRef] [PubMed]
  21. Hobbs, R.G.; Farrell, R.A.; Bolger, C.T.; Kelly, R.A.; Morris, M.A.; Petkov, N.; Holmes, J.D. Selective sidewall wetting of polymer blocks in hydrogen silsesquioxane directed self-assembly of PS-b-PDMS. ACS Appl. Mater. Interfaces 2012, 4, 4637–4642. [Google Scholar] [CrossRef] [PubMed]
  22. Kirk, C.T. Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica. Phys. Rev. B 1998, 38, 1255–1273. [Google Scholar] [CrossRef]
  23. Hsieh, I.-F.; Sun, H.-J.; Fu, Q.; Lotz, B.; Cavicchi, K.A.; Cheng, S.Z.D. Phase structural formation and oscillation in polystyrene-block-polydimethylsiloxane thin films. Soft Matter 2012, 8, 7937–7944. [Google Scholar] [CrossRef]
  24. Wang, Y.; Hong, X.; Liu, B.; Ma, C.; Zhang, C. Two-dimensional ordering in block copolymer monolayer thin films upon selective solvent annealing. Macromolecules 2008, 41, 5799–5808. [Google Scholar] [CrossRef]
  25. Wang, Z.; Li, B.; Jin, Q.; Ding, D.; Shi, A.-C. Self-assembly of cylinder-forming ABA triblock copolymers under cylindrical confinement. Macromol. Theory Simul. 2008, 17, 301–312. [Google Scholar] [CrossRef]
  26. O’Driscoll, B.M.D.; Griffiths, G.H.; Matsen, M.W.; Hamley, I.W. Structure variation and evolution in microphase-separated grafted diblock copolymer films. Macromolecules 2011, 44, 8527–8536. [Google Scholar] [CrossRef]
  27. Wang, Y.; Tong, L.; Steinhart, M. Swelling-induced morphology reconstruction in block copolymer nanorods: Kinetics and impact of surface tension during solvent evaporation. ACS Nano 2011, 5, 1928–1938. [Google Scholar] [CrossRef] [PubMed]
  28. Tsarkova, L.; Sevink, G.J.A.; Krausch, G. Nanopattern evolution in block copolymer films: Experiment, simulations and challenges. Adv. Polym. Sci. 2010, 227, 33–73. [Google Scholar]
  29. Ebnesajjad, S. Fluoroplastics: Non-Melt Processible Fluoroplastics; Plastics Digital Library: Norwich, NY, USA, 2000; Volume 1, p. 27. [Google Scholar]
  30. Jung, Y.S.; Ross, C.A. Solvent-vapor-induced tunability of self-assembled block copolymer patterns. Adv. Mater. 2009, 21, 2540–2545. [Google Scholar] [CrossRef]
  31. Darling, S.B. Directing the self-assembly of block copolymers. Prog. Polym. Sci. 2007, 32, 1152–1204. [Google Scholar] [CrossRef]
  32. Jung, Y.S.; Chang, J.B.; Verploegen, E.; Berggren, K.K.; Ross, C.A. A path to ultranarrow patterns using self-assembled lithography. Nano Lett. 2010, 10, 1000–1005. [Google Scholar] [CrossRef] [PubMed]
  33. Jung, Y.S.; Lee, J.H.; Lee, J.Y.; Ross, C.A. Fabrication of diverse metallic nanowire arrays based on block copolymer self-assembly. Nano Lett. 2010, 10, 3722–3726. [Google Scholar] [CrossRef] [PubMed]
  34. Bita, I.; Wang, J.K.W.; Jung, Y.S.; Ross, C.A.; Thomas, E.L.; Berggren, K.K. Graphoepitaxy of self-assembled block copolymers on two-dimensional periodic patterned templates. Science 2008, 321, 939–943. [Google Scholar] [CrossRef] [PubMed]
  35. Jung, Y.S.; Jung, W.; Ross, C.A. Nanofabricated concentric ring structures by templated self-assembly of a diblock copolymer. Nano Lett. 2008, 8, 2975–2981. [Google Scholar] [CrossRef] [PubMed]
  36. Ross, C.A.; Jung, Y.S.; Chuang, V.P.; Llievski, F.; Yang, J.K.W.; Bita, I.; Thomas, E.L.; Smith, H.I.; Berggren, K.K.; Vancso, G.J.; et al. Si-containing block copolymers for self-assembled nanolithography. J. Vac. Sci. Technol. B 2008, 26, 2489–2494. [Google Scholar] [CrossRef]
  37. Brinkmann, M.; Chan, V.Z.H.; Thomas, E.L.; Lee, V.L.; Miller, R.D.; Hadjichristids, N.; Avgeropoulos, A. Room-temperature synthesis of α-SiO2 thin films by UV-assisted ozonolysis of a polymer precursor. Chem. Mater. 2001, 13, 967–972. [Google Scholar] [CrossRef]
  38. Jeong, J.W.; Park, W.I.; Do, L.-M.; Park, J.-H.; Kim, T.-H.; Chae, G.; Jung, Y.S. Nanotransfer printing with sub-10 nm resolution realized using directed self-assembly. Adv. Mater. 2012, 24, 3526–3531. [Google Scholar] [CrossRef] [PubMed]
  39. Zhang, X.; Harris, K.D.; Wu, N.L.Y.; Murphy, J.N.; Buriak, J.M. Fast assembly of ordered block copolymer nanostructures through microwave annealing. ACS Nano 2010, 4, 7021–7029. [Google Scholar] [CrossRef] [PubMed]
  40. Fitzgerald, T.G.; Farrell, R.A.; Petkov, N.; Bolger, C.T.; Shaw, M.T.; Charpin, J.P.F.; Gleeson, J.P.; Holmes, J.D.; Morris, M.A. Study on the combined effects of solvent evaporation and polymer flow upon block copolymer self-assembly and alignment on topographic patterns. Langmuir 2009, 25, 13551–13560. [Google Scholar] [CrossRef] [PubMed]

Share and Cite

MDPI and ACS Style

Borah, D.; Rasappa, S.; Senthamaraikannan, R.; Holmes, J.D.; Morris, M.A. Block Co-Polymers for Nanolithography: Rapid Microwave Annealing for Pattern Formation on Substrates. Polymers 2015, 7, 592-609. https://doi.org/10.3390/polym7040592

AMA Style

Borah D, Rasappa S, Senthamaraikannan R, Holmes JD, Morris MA. Block Co-Polymers for Nanolithography: Rapid Microwave Annealing for Pattern Formation on Substrates. Polymers. 2015; 7(4):592-609. https://doi.org/10.3390/polym7040592

Chicago/Turabian Style

Borah, Dipu, Sozaraj Rasappa, Ramsankar Senthamaraikannan, Justin D. Holmes, and Michael A. Morris. 2015. "Block Co-Polymers for Nanolithography: Rapid Microwave Annealing for Pattern Formation on Substrates" Polymers 7, no. 4: 592-609. https://doi.org/10.3390/polym7040592

Article Metrics

Back to TopTop