Next Article in Journal
A Carboxylated Nitrile Butadiene Rubber Latex Film with Synergistically Enhanced Water-Based Lubricity and Tensile Strength: Fabrication and Characterization
Previous Article in Journal
Synergistic and Antagonistic Effects of Hybridization and MWCNT Reinforcement on the Solid Particle Erosion of Glass/Carbon Fiber Composites
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

An Acid-Cleavable Lamellar Block Copolymer for Sub-30-nm Line Spacing Patterning via Graphoepitaxial Directed Self-Assembly and Direct Wet Etching

1
Center of Micro-Nano System, School of Information Science and Technology, Fudan University, Shanghai 200438, China
2
Zhangjiang Laboratory, 100 Haike Road, Shanghai 201204, China
*
Author to whom correspondence should be addressed.
Polymers 2025, 17(18), 2435; https://doi.org/10.3390/polym17182435
Submission received: 2 August 2025 / Revised: 30 August 2025 / Accepted: 5 September 2025 / Published: 9 September 2025

Abstract

Graphoepitaxial directed self-assembly (DSA) of block copolymers (BCPs) has emerged as a promising strategy for sub-30 nm line spacing patterning in semiconductor nanofabrication. Among the available BCP systems, polystyrene-block-poly (methyl methacrylate) (PS-b-PMMA) has been extensively utilized due to its well-characterized phase behavior and compatibility with standard lithographic processes. However, achieving a high-fidelity pattern with PS-b-PMMA remains challenging, owing to its limited etch contrast and reliance on UV-assisted degradation for PMMA removal. In this study, we report the synthesis of an acid-cleavable lamellar BCP, PS-N=CH-PMMA, incorporating a dynamic Schiff base (-N=CH-) linkage at the junction. This functional design enables UV-free wet etching, allowing selective removal of PMMA domains using glacial acetic acid. The synthesized copolymers retain the self-assembly characteristics of PS-b-PMMA and form vertically aligned lamellar nanostructures, with domain spacings tunable from 36.1 to 40.2 nm by varying the PMMA block length. When confined within 193i-defined trench templates with a critical dimension (CD) of 55 nm (trench width), these materials produced well-ordered one-space-per-trench patterns with interline spacings tunable from 15 to 25 nm, demonstrating significant line spacing shrinkage relative to the original template CD. SEM and FIB-TEM analyses confirmed that PS-N=CH-PMMA exhibits markedly improved vertical etch profiles and reduced PMMA residue compared to PS-b-PMMA, even without UV exposure. Furthermore, Ohta–Kawasaki simulations revealed that trench sidewall angle critically influences PS distribution and residual morphology. Collectively, this work demonstrates the potential of dynamic covalent chemistry to enhance the wet development fidelity of BCP lithography and offers a thermally compatible, UV-free strategy for sub-30 nm nanopatterning.

1. Introduction

As integrated circuit (IC) manufacturing advances toward the 2 nm technology node, the continued miniaturization of device architectures and increasing interconnect complexity impose stringent demands on the fabrication of high-resolution sub-30 nm line/space patterns [1,2,3]. Deep ultraviolet (DUV) lithography based on 193 nm exposure has been extensively adopted in advanced logic fabrication due to its mature process integration and compatibility with existing production lines [4,5]. However, overcoming the optical diffraction limit in DUV lithography typically requires double or multiple patterning techniques, which significantly increase process complexity, cost, and defect density [6,7]. Extreme ultraviolet (EUV) lithography, utilizing a 13.5 nm light source, offers superior resolution and has enabled single-exposure fabrication of line/space patterns with widths ranging from 13 nm to 16 nm in several critical layers [5,8]. Nevertheless, the high capital cost, sensitivity to particle contamination, and challenges in fab-level implementation continue to hinder its widespread adoption in middle-of-line (MOL) layers such as interconnects [9,10]. Accordingly, the development of scalable patterning strategies compatible with existing DUV platforms remains of great engineering significance. Among them, the combination of DUV lithography with directed self-assembly (DSA) has attracted increasing attention for its ability to synergistically integrate top-down pattern control with bottom-up resolution enhancement [11].
DSA of BCPs, a bottom-up patterning technique, has been widely recognized as a promising complementary lithography method due to its low cost, scalability, and high resolution [12,13]. DSA leverages the microphase separation of BCPs within pre-patterned templates to generate periodic nanostructures with precisely controllable dimensions [14,15]. In particular, graphoepitaxial DSA of lamella-forming BCPs in trench array templates enables aligned line/space arrays with tunable pitch and critical dimensions [16,17]. These nanoscale features are suitable for fabricating densely packed FinFET fins [18], advanced gate structures [19], and subwavelength photonic components [20]. Among various BCPs, polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) has been widely employed in DSA applications due to its well-established phase behavior [21], facile synthesis [22], and excellent process compatibility with standard lithographic processes [23]. However, this system suffers from low etch contrast between PS and PMMA during pattern formation, particularly during the PMMA removal step for PS mask fabrication, which limits pattern fidelity and narrows the process window [24,25]. Two main strategies are currently employed to remove the PMMA block in PS-b-PMMA systems: dry etching and wet etching [26,27]. Dry etching typically involves oxygen plasma in reactive ion etching (RIE) or inductively coupled plasma (ICP) systems to achieve anisotropic removal of polymer materials [28,29]. The etch selectivity between PMMA and PS is generally low (typically 2:1 to 4:1), leading to PS mask erosion, line edge blurring, and pattern distortion, which hinder the retention of high-fidelity structures [30,31]. In contrast, wet etching offers milder processing conditions and superior material selectivity, resulting in improved interface cleanliness and pattern control [32]. Conventional wet etching strategies usually require ultraviolet (UV) pre-exposure to induce chain scission in the PMMA domains, followed by selective dissolution in weak acidic solvents such as glacial acetic acid to construct PS masks [33]. UV-assisted processes often suffer from issues such as nonuniform photochemical reactions, residual PMMA at the PS/PMMA interface, and undesired crosslinking of the PS phase, thereby compromising pattern integrity and narrowing the process window [34]. These challenges highlight the urgent need for structurally modified PS-b-PMMA analogues that incorporate cleavable junctions to enable UV-free PMMA removal and high-fidelity pattern transfer during wet development. Recent developments in dynamic covalent chemistry have introduced versatile tools for designing stimuli-responsive polymers [35]. Among them, Schiff base linkages (-N=CH-), formed via condensation between aromatic aldehydes and primary amines [36], exhibit rapid acid-induced cleavage under mild conditions [37] and have been extensively studied in degradable polymers [38], drug delivery systems [39], and responsive materials [40]. These bonds offer controllable reaction conditions and yield structurally stable intermediates [41]. Recent advances have highlighted the utility of dynamic covalent chemistry in nanofabrication [42]. In BCP design, preliminary studies have explored the use of Schiff base-cleavable junctions to impart acid sensitivity [43,44,45]. To the best of our knowledge, such linkages have not yet been applied to PS-b-PMMA systems, nor have they been evaluated in the context of DSA and wet etching processes. Incorporating Schiff base-cleavable linkages into the BCP backbones may enable UV-free selective removal of the PMMA domain, while minimizing interfacial PMMA residue at the interface and enhancing pattern fidelity during etching.
Here, for the first time, we designed and synthesized a novel acid-cleavable lamella-forming block copolymer, PS-N=CH-PMMA, by introducing a Schiff base (benzylideneimine) linkage between the PS and PMMA segments (as illustrated in Scheme 1). This structural design imparts acid sensitivity to the copolymer, enabling UV-free selective wet etching under mildly acidic conditions. Meanwhile, the material retains the desirable thin film self-assembly characteristics of conventional PS-b-PMMA, including the formation of well-ordered nanostructures upon thermal annealing at 230 °C and strong compatibility with graphoepitaxial directed self-assembly (DSA) processes. PS-N=CH-PMMA forms vertically oriented lamellar structures in thin films, exhibiting fingerprint-like morphologies. By tuning the molecular weight of the aldehyde-functionalized PMMA precursor (PMMA-CHO), both the domain spacing (L0) of the self-assembled films and the critical dimensions of the DSA-generated patterns can be effectively modulated. When assembled within trench templates fabricated via 193 nm DUV lithography, the resulting line/space arrays exhibit interline space widths ranging from 15 to 25 nm. Upon treatment with glacial acetic acid, the PMMA domains are selectively removed, yielding well-defined PS masks with significantly enhanced pattern fidelity. Following wet etching, the cross-sectional profiles of the DSA patterns were characterized by focused ion beam transmission electron microscopy (Fib-TEM), confirming etch depths of up to 93.3 nm, thereby demonstrating excellent pattern retention and etch compatibility. Furthermore, Ohta–Kawasaki (OK) simulations reveal that steep sidewalls in the guiding templates can promote PS retention after etching, highlighting the critical role of template geometry in determining the residual morphology. Compared to conventional PS-b-PMMA, PS-N=CH-PMMA maintains robust self-assembly performance while exhibiting markedly improved responsiveness to wet etching, thereby validating the effectiveness of the functional design. This study presents a viable molecular design strategy for next-generation UV-free lithography, offering a simplified, high-fidelity approach to nanoscale pattern fabrication.

2. Materials and Methods

2.1. Materials

Ethyl α-bromophenylacetate (EBPA), 2-hydroxyethyl 2-bromo-2-methylpropanoate (HEBIB), 4-dimethylaminopyridine (DMAP), 1-(3-dimethylaminopropyl)-3-ethylcarbodiimide hydrochloride (EDC·HCl), DCM (dichloromethane), anhydrous ethanol, neutral alumina (200–300 mesh), and 4-formylbenzoic acid were obtained from Aladdin. Cuprous bromide (CuBr), anhydrous diethyl ether, propylene glycol methyl ether acetate (PGMEA), anhydrous methanol, tetrahydrofuran (THF), N, N, N′, N″, N″-pentamethyldiethylenetriamine (PMDETA), styrene (St), methyl methacrylate (MMA), glycidyl methacrylate (GMA), anisole, and glacial acetic acid (electronic grade, ≥99.7%) were sourced from Sinopharm Chemical Reagent Co., Ltd., Shanghai, China. St and MMA were passed through neutral alumina columns to remove inhibitors prior to use. CuBr was purified by washing with dilute acetic acid, followed by rinsing with acetone and drying under vacuum, and then stored under a nitrogen atmosphere. All other solvents and reagents were used without further purification. Silicon wafers were provided by Suzhou Research Material Micro Nano Technology Co., Ltd, Suzhou, China. Amino-terminated polystyrene (PS-NH2, Mn = 32 kg/mol, PDI = 1.07) and hydroxyl-terminated polystyrene (PS-OH; Mn = 8 kg/mol; PDI = 1.09) were purchased from Polymer Source Inc., Dorval, QC, Canada. The guiding templates with periodic trench arrays for DSA were fabricated via 193i lithography and kindly supplied by the Shanghai IC R&D Center (ICRD), Shanghai, China.

2.2. Syntheses of Polymers

2.2.1. Synthesis of Bromide-Terminated Poly (Methyl Methacrylate) (PMMA28k-Br)

PMMA28k-Br was synthesized via atom transfer radical polymerization (ATRP) using EBPA as the initiator, following a modified literature procedure [46]. MMA (200 mL, 1.88 mol), anisole (200 mL), EBPA (0.972 g, 4.0 mmol), and PMDETA (0.720 g, 4.16 mmol) were sequentially introduced into a thoroughly dried 500 mL Schlenk flask under nitrogen atmosphere. The reaction mixture was degassed by purging with nitrogen gas for 1 h to remove dissolved oxygen. Subsequently, CuBr (0.574 g, 4.0 mmol) was quickly added under a nitrogen atmosphere, and the reaction solution was stirred at ambient temperature for 30 min to ensure homogeneous formation of the catalyst complex. The polymerization was initiated by placing the flask in an oil bath at 60 °C and was allowed to proceed for 2.5 h. The reaction was quenched by rapid cooling in liquid nitrogen. The resultant polymer solution was diluted with THF and passed through a neutral alumina column to remove residual copper catalyst. The purified polymer was precipitated into excess anhydrous methanol. The white solid product was collected by filtration, thoroughly washed with methanol, and dried under vacuum at 40 °C for 48 h. 1H NMR (400 MHz, CDCl3) δ: 0.80–1.10 ppm (m, -CH3), 1.80–2.00 ppm (m, -CH2- backbone), 3.60 ppm (s, -OCH3).

2.2.2. Synthesis of Polystyrene-Block-Poly (Methyl Methacrylate) (PS28k-b-PMMA28k)

The synthesis of PS28k-b-PMMA28k via ATRP was conducted following previously reported protocols with minor modifications [47]. PMMA28k-Br (28 g) was dissolved in anisole (250 mL) and styrene (125 mL) at 40 °C under stirring to ensure complete dissolution. The solution was transferred into a 500 mL Schlenk flask and deoxygenated by nitrogen bubbling for 1 h. PMDETA (0.174 g) and CuBr (0.143 g) were then added under a nitrogen atmosphere, followed by an additional 30 min of purging. Polymerization was initiated by heating the mixture to 100 °C in an oil bath. Aliquots were periodically withdrawn for GPC analysis to monitor molecular weight evolution. Upon reaching the target degree of polymerization, the reaction was quenched by immersion in liquid nitrogen. The mixture was diluted with THF and passed through a neutral alumina column to remove residual copper salts. The product was precipitated into anhydrous methanol, and fractional precipitation using a THF–methanol solvent pair was employed to remove unreacted PMMA. The resulting white solid was washed with cyclohexane to eliminate residual PS and dried under vacuum at 60 °C for 48 h. 1H NMR (400 MHz, CDCl3) δ: 0.80–1.10 ppm (m, -CH3), 1.80–2.00 ppm (m, -CH2- backbone), 3.60 ppm (s, -OCH3 of PMMA), and 6.30–7.20 ppm (m, Ar-H, aromatic protons of PS).

2.2.3. Synthesis of Hydroxy-Terminated Poly (Methyl Methacrylate) (PMMA-OH)

Hydroxy-terminated PMMA samples with target Mn values of 23k, 26k, 28k, and 30k were prepared via a modified procedure based on previously reported protocols [48]. Briefly, HEBIB (0.21 g), PMDETA (0.17 g), MMA (100 mL), and anisole (200 mL) were added to a 500 mL Schlenk flask. The solution was degassed by nitrogen bubbling for 1 h, after which CuBr (0.14 g) was added under a nitrogen atmosphere. Following an additional 30 min of purging, aliquots were collected at predetermined time points during polymerization at 60 °C polymerization to monitor molecular weight development via GPC. The reaction was terminated by quenching with liquid nitrogen. The mixture was diluted with THF and passed through a neutral alumina column to remove copper salts. The resulting solution was precipitated in anhydrous methanol, and the crude product was collected by filtration. Further purification was achieved by redissolving the polymer in THF and reprecipitating in methanol twice. The final product was dried under vacuum at 40 °C for 48 h. 1H NMR (400 MHz, CDCl3) δ: 0.80–1.10 (m, -CH3), 1.80–2.00 (m, -CH2- backbone), 3.60 (s, -OCH3 of PMMA), 4.10 (t, -CH2OH). The number-average degree of polymerization (DPn) of the PMMA backbone was estimated based on GPC results using PMMA standards and calculated according to Equation (1):
D P n = M n 100.12 × 100   %

2.2.4. Synthesis of Aromatic Aldehyde-Terminated Poly (Methyl Methacrylate) (PMMA-CHO)

A series of aldehyde-functionalized PMMA (PMMA-CHO) samples were synthesized via Steglich esterification, following established procedures for ester coupling reactions [49], using PMMA-OH precursors with Mn values of 23k, 26k, 28k, and 30k. The following procedure describes the synthesis route using PMMA28k-OH as a representative example. PMMA28k-OH (0.28 g), DMAP (0.32 g), EDC·HCl (1.92 g), and 4-formylbenzoic acid (12.23 g) were placed in a 250 mL round-bottom flask. Dichloromethane (100 mL) was then added to the mixture. The reaction was carried out at 35 °C with magnetic stirring for 72 h. Upon completion, the mixture was precipitated in anhydrous ether, yielding a white solid. This solid was subsequently washed several times with a mixture of tetrahydrofuran and anhydrous methanol to remove any unreacted materials and impurities. The final purified product was dried in a vacuum oven at 40 °C for 24 h to eliminate any residual solvents. 1H NMR (400 MHz, CDCl3) δ: 0.80–1.10 (m, -CH3), 1.80–2.00 (m, -CH2- backbone), 3.60 (s, -OCH3 of PMMA), 7.90–8.10 (d, Ar-H), and 10.00 (s, -CHO). The end-group functionalization efficiency of PMMA–CHO was quantitatively determined by 1H NMR spectroscopy. Specifically, the characteristic signal of the aldehyde proton (-CHO) appeared as a singlet at δ ≈ 10.0 ppm and was integrated relative to the methoxy protons (-OCH3) of the PMMA repeating units, which typically appear at δ ≈ 3.6 ppm (3H per repeat unit). The grafting efficiency (GE) of the aldehyde groups was calculated using the following Equation (2):
G E % = 3 A C H O A O C H 3 × D P n × 100   %
where A C H O   is the integral of the aldehyde proton peak, and A O C H 3   is the total integral of the methoxy proton signal. The factor of 3 accounts for the three protons per methoxy group. This calculation assumes that each PMMA chGEain contains a single -CHO group at the α-chain terminus.

2.2.5. Synthesis of Acid-Cleavable Polystyrene-Block-Poly (Methyl Methacrylate) (PS-N=CH-PMMA)

Acid-cleavable lamella-forming BCPs, PS-N=CH-PMMA, were synthesized via Schiff base condensation between amino-terminated polystyrene (PS32k-NH2) and aldehyde-functionalized PMMA (PMMA-CHO), following previously reported procedures with minor modifications. As a representative example, PS32k-N=CH-PMMA28k was synthesized by dissolving PMMA28k-CHO (0.28 g) and PS32k-NH2 (0.32 g) in 20 mL of tetrahydrofuran (THF) in a 50 mL round-bottom flask. The reaction mixture was stirred at 35 °C for 24 h to allow complete Schiff base formation. The resulting product was precipitated into anhydrous ether and further purified by fractional precipitation using a THF–methanol solvent pair to remove unreacted PMMA-CHO. The precipitate was then washed with cyclohexane to eliminate any residual PS-NH2 and dried under vacuum at 40 °C for 48 h to afford the final PS32k-N=CH-PMMA28k product. The volume fraction of PS (ƒSt) was calculated according to literature-reported methods based on block molecular weights and the bulk densities of PS (1.05 g/cm3) and PMMA (1.18 g/cm3) [50]. 1H NMR (400 MHz, CDCl3) δ: 0.80–1.10 (m, -CH3), 1.80–2.00 (m, -CH2- backbone), 3.60 (s, -OCH3 of PMMA), 6.30–7.20 (m, Ar-H of PS), and 7.54 (s, -N=CH-).

2.2.6. Synthesis of the Random Copolymer PS-r-PMMA-r-PGMA

Poly (St-co-MMA-co-GMA) (Mat) random copolymers were synthesized via free-radical solution polymerization, with varying styrene molar fractions (FSt). The synthesis of Mat63 (FSt = 63%) is described here as a representative example. St (63 mmol, 6.56 g), MMA (35 mmol, 3.51 g), and GMA (2 mmol, 0.28 g) were dissolved in 20 mL of anisole in a 50 mL round-bottom flask. AIBN (0.5 mmol, 0.082 g) was added as the thermal initiator. The solution was degassed by nitrogen bubbling at room temperature for 1 h to remove dissolved oxygen, after which polymerization was carried out at 70 °C for 8 h under a nitrogen atmosphere. After completion, the reaction mixture was diluted with THF and precipitated dropwise into excess anhydrous methanol. The resulting polymer was collected by filtration, redissolved in THF, and reprecipitated in methanol three times to remove unreacted monomers and impurities. The final white powder was obtained by drying the purified product under vacuum at 40 °C until the weight was constant. The styrene molar fraction (Fst) in the random copolymers was calculated following the method described in reference [51]. 1H NMR (400 MHz, CDCl3) δ: 0.80–1.10 (m, -CH3), 1.80–2.00 (m, -CH2- backbone), 2.50–3.60 (m, -OCH3 of MMA and epoxide protons of GMA), 6.30–7.20 (m, Ar-H).

2.3. Thermal Annealing and Wet Etching Processes

2.3.1. Thin Film Self-Assembly of BCPs

Silicon wafers (1 cm × 1 cm) were sequentially cleaned by ultrasonication in ethanol, acetone, and isopropyl alcohol for 5 min each. Afterwards, the substrates were treated with oxygen plasma for 5 min using a CPC-B plasma cleaner to remove residual organic contaminants and convert surface -Si-CH3 groups into hydrophilic -Si-OH functionalities, thereby improving the adhesion of the subsequent polymer layers. Random copolymers (Mat) with styrene molar fractions of 63%, 65%, 67%, and 72% (denoted as M63, M65, M67, and M72) were dissolved in toluene with a mass fraction of 0.5 wt%, and the resulting solutions were spin-coated onto the plasma-treated silicon substrates at 2000 rpm. The films were then annealed at 250 °C for 10 min under vacuum to promote thermal crosslinking of the glycidyl groups and enable covalent grafting of the Mat layer to the substrate. Non-grafted Mat polymers were removed by ultrasonication in toluene for 5 min, resulting in Mat-grafted substrates with varying PS volume fractions. Subsequently, PS-N=CH-PMMA and PS-b-PMMA BCPs were separately dissolved in PGMEA with a mass fraction of 2.0 wt%, and the solutions were spin-coated onto the Mat-modified substrates at 2000 rpm. The films were subsequently annealed at 230 °C for 30 min under vacuum to promote thermally driven microphase separation, leading to the formation of fingerprint-like lamellar nanostructures characteristic of lamellar-phase BCP self-assembly. All experiments were repeated three times.

2.3.2. Graphoepitaxial Directed Self-Assembly of BCPs

Graphoepitaxial trench templates (1 cm × 1 cm), fabricated via 193i lithography, were provided by the Shanghai IC R&D Center. The template wafers were first cleaned by ultrasonication in isopropyl alcohol for 2 min to remove surface contaminants. A polymer brush layer was then applied by spin coating a 0.5 wt% solution of PS-OH in PGMEA at 2000 rpm onto the trench-patterned substrates. The samples were subsequently annealed in a vacuum annealing furnace at 220 °C for 10 min to enable the formation of a PS-affinitive guiding layer via the grafting of PS-OH within the trenches. Residual ungrafted PS-OH was removed by rinsing with PGMEA. Following surface functionalization, BCP thin films were prepared by spin coating 1.5 wt% solutions of either PS-b-PMMA or PS-N=CH-PMMA in PGMEA onto the brush-modified guiding substrates at 2000 rpm. A final annealing step was performed at 230 °C for 30 min under vacuum to induce microphase separation and achieve confined self-assembly of the BCPs within the trench structures, resulting in the formation of well-aligned line/space patterns. All experiments were repeated three times.

2.3.3. Wet Etching Process

The wet etching process was performed by directly immersing the self-assembled and directed self-assembled samples (1 cm × 1 cm) into electronic-grade glacial acetic acid for 5 min. After etching, the samples were promptly blown dry with a stream of nitrogen gas.

2.4. Ohta–Kawasaki (OK) Model Simulation

To investigate the microphase separation behavior of lamellar PS32k-N=CH-PMMA28k (L0 = 38.3nm) under graphoepitaxial confinement with varying trench sidewall angles during the pattern-shrinkage process, three-dimensional simulations were performed using the OK model, following the method reported by Yoshimoto et al. [52]. The simulation domain was discretized into a cubic grid with a spatial resolution of Δl = 0.2 Rg and periodic boundary conditions applied in all directions. The trench template was defined with a normalized depth of 5.26 L0, width of 1.45 L0, and length of 3 L0. The sidewalls and bottom surface of the trench were set to be preferential to PS by applying a positive surface interaction parameter Λ(r), while the top surface remained neutral.

2.5. Characterization

The proton nuclear magnetic resonance (1H NMR) spectra were obtained using a Bruker Avance NEO 400 MHz NMR spectrometer, with chloroform-d as the solvent. Fourier transform infrared (FTIR) spectra for these polymers were acquired using a Thermo Nicolet iS50 FTIR spectrometer ( Thermo Fisher Scientific, Waltham, MA, USA), recorded over the range of 400–4000 cm−1, with a resolution of 4 cm−1 and 32 scans per measurement. The molecular weights and polydispersity indices (PDI) of all polymer samples were measured by gel permeation chromatography (GPC) on an Agilent 1260 system (Agilent Technologies, Santa Clara, CA, USA), using tetrahydrofuran (THF) as the eluent at a flow rate of 1 mL/min and calibrated with polystyrene standards. Differential scanning calorimetry (DSC) analyses were carried out using a Netzsch DSC 200 F3 instrument (NETZSCH-Gerätebau GmbH, Selb, Germany), within a temperature range of 30 to 200 °C at a heating rate of 20 °C/min during the second heating cycle. To mitigate the influence of thermal history, DSC heating and cooling tests were repeated three times. Thermogravimetric analysis (TGA) was performed with a Mettler SDTA 851e instrument (Mettler-Toledo GmbH, Greifensee, Switzerland), heating samples from 30 °C to 800 °C under a nitrogen atmosphere at a rate of 20 °C/min. The morphology of the samples was examined using a field-emission scanning electron microscope (FE-SEM, Gemini 300, Carl Zeiss Microscopy GmbH, Oberkochen, Germany) in their as-received state without gold coating. The film thicknesses were determined using a Filmetrics F20-UV reflectometer (KLA Corporation, Milpitas, CA, USA), which utilizes broadband spectroscopic reflection over a 190–1100 nm wavelength range. Assuming a refractive index of 1.46, the system can measure thicknesses between 5 nm and 40 μm with a resolution of 0.02 nm. Focused ion beam transmission electron microscopy (FIB-TEM) analyses were performed at Nanjing Pan Quan Electronic Technology Co., Ltd. (Nanjing, China), with a protective hafnium oxide layer deposited on the sample surface prior to FIB preparation. TEM samples were prepared using a commercial Helios DualBeam system (Thermo Fisher Scientific, Waltham, MA, USA). TEM imaging was performed with a Talos transmission electron microscope (Thermo Fisher Scientific, Waltham, MA, USA), operated at an accelerating voltage of 200 kV, and images were acquired in bright-field mode.

3. Results and Discussion

3.1. Synthesis and Characterization of Precursor Polymers and BCPs

The synthetic routes for the conventional BCP PS-b-PMMA and the acid-cleavable analogue PS-N=CH-PMMA are illustrated in Figure 1. As shown in Figure 1a, PS-b-PMMA was synthesized via a sequential atom transfer radical polymerization (ATRP) strategy. Initially, PMMA-Br was prepared by polymerizing methyl methacrylate (MMA) using ethyl 2-bromophenylacetate (EBPA) as the initiator in the presence of CuBr and PMDETA at 60 °C. The resultant PMMA macroinitiator was then chain-extended with styrene at 100 °C to afford the desired PS-b-PMMA BCP. The aldehyde-terminated PMMA (PMMA-CHO) precursor was synthesized, as outlined in Figure 1b. 2-Hydroxyethyl 2-bromoisobutyrate (HEBIB) was employed as the ATRP initiator to yield hydroxyl-terminated PMMA (PMMA-OH). The terminal hydroxyl group was subsequently esterified with 4-formylbenzoic acid using a carbodiimide-mediated coupling strategy to yield the desired PMMA-CHO precursor. In this reaction, EDC·HCl served as the coupling agent to activate the carboxylic acid into an O-acylisourea intermediate, while DMAP acted as a nucleophilic catalyst to facilitate acyl transfer [53]. The final BCP PS-N=CH-PMMA was obtained by condensation of PMMA-CHO with amino-terminated polystyrene (PS-NH2) in dichloromethane at 35 °C, forming a dynamic Schiff base linkage at the block junction (Figure 1c).
Figure 2 summarizes the comprehensive characterization of the precursor polymers and the resulting BCPs. As shown in Figure 2a, the 1H NMR spectrum of PMMA28k-OH exhibits a distinct methoxy proton (-OCH3) signal at δ = 3.6 ppm, along with broad backbone peaks between δ = 0.8 and 2.0 ppm. Upon end-functionalization with 4-formylbenzoic acid, the aldehyde-terminated PMMA28k-CHO displays a characteristic aromatic proton signal at δ = 7.9–8.0 ppm and the aldehydic proton resonance at δ = 10.0 ppm, confirming successful introduction of the aldehyde group [54]. Figure 2b presents the 1H NMR spectra of the conventional BCP PS28k-b-PMMA28k and the acid-cleavable analogue PS32k-N=CH-PMMA28k. A characteristic imine proton signal at δ = 7.54 ppm, which is absent in the spectrum of PS28k-b-PMMA28k, confirms the successful formation of the Schiff base linkage at the junction between the two blocks [55]. 1H NMR spectra of PS32k-N=CH-PMMA BCPs with different PMMA block lengths are provided in Figure S1. Figure 2c shows the GPC traces of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and the resulting BCP PS32k-N=CH-PMMA28k. GPC traces of hydroxyl- and aldehyde-terminated PMMA precursors with targeted molecular weights of 23k, 26k, 28k, and 30k are provided in Figures S2 and S3. Compared to the individual precursors, PS32k-N=CH-PMMA28k exhibits a clear shift toward shorter retention time, consistent with an increase in molecular weight following BCP formation via imine linkage. Additional GPC traces of PS32k-N=CH-PMMA BCPs are provided in Figure S4. Similarly, the GPC profiles of PMMA28k-Br and its chain-extended product PS28k-b-PMMA28k are shown in Figure S5. The GPC data of the precursor polymers are summarized in Table 1 and Table 2,while the GPC and 1H NMR characterization results of the resulting BCPs are provided in Table 3. The chemical bonding features of the copolymers were further investigated via FTIR spectroscopy. Figure 2d shows the FTIR spectra of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k. The spectrum of PS32k-N=CH-PMMA28k exhibits a combination of characteristic bands from both precursor segments, including a strong absorption at 1727 cm−1 attributed to the C=O stretching of the ester groups in the PMMA block, and a characteristic band at 1493 cm−1 corresponding to the aromatic C=C stretching of the benzene ring in the PS block. Notably, a new peak appears at 1640 cm−1, which is absent in the spectra of the precursors and can be assigned to the imine stretching vibration, confirming the successful synthesis of the acid-cleavable BCP. The thermal stability and glass transition behavior of the synthesized BCPs were evaluated by TGA and DSC, as shown in Figure 2e,f. In the TGA profiles (Figure 2e), the acid-cleavable PS32k-N=CH-PMMA28k and the conventional PS28k-b-PMMA28k exhibit nearly identical thermal stability, with no significant weight loss observed below 250 °C. This thermal robustness confirms their compatibility with typical thermal annealing protocols used in DSA processes [56]. The DSC thermogram presented in Figure 2f displays a single glass transition temperature (Tg) at 108 °C for PS32k-N=CH-PMMA28k, which lies between the Tg values of the corresponding PS32k-NH2 (104 °C) and PMMA28k-CHO (120 °C) precursor polymers. Unlike conventional PS-b-PMMA systems, which typically exhibit two distinct Tgs, the single Tg observed here may result from the similar segment lengths and closely spaced intrinsic Tg values of the PS and PMMA blocks, leading to overlapping thermal transitions [57].

3.2. Morphological Analysis of BCP Self-Assembled Thin Films After Wet Etching

To evaluate the thin film self-assembly behavior and etching responsiveness of the PS-N=CH-PMMA BCP, we prepared a series of lamella-forming samples. The PMMA block length was systematically varied, and the samples were formed on substrates coated with different neutral mats. As confirmed by the thermal analysis in Figure 2e, this acid-labile material remains thermally stable below 250 °C, which enables conventional thermal annealing without cleavage of the imine linkage. Accordingly, the BCP films were annealed at 230 °C, a representative processing temperature for lamella-forming PS-b-PMMA systems [56]. The BCP film thickness was controlled at approximately 1.25 L0 to promote perpendicular lamellar orientation under favorable confinement conditions [58,59]. As schematically illustrated in Figure 3a, the mask fabrication process consists of three key steps. A thin film was prepared by spin coating the PS-N=CH-PMMA BCP solution onto the neutral mat layer, followed by thermal annealing to induce microphase separation and generate fingerprint-like lamellar morphologies. The resulting film is then immersed in dilute acetic acid, during which the imine linkage is hydrolyzed and the PMMA domains are selectively removed via a single-step wet etching process. This UV-free approach leverages the acid-cleavable nature of the -N=CH- linkage to trigger domain separation under mild chemical conditions, eliminating the need for high-energy exposure steps.
In contrast to conventional PS-b-PMMA systems that require UV exposure to render PMMA domains soluble, the acid-cleavable PS-N=CH-PMMA obviates this step. It enables direct wet etching without any UV pretreatment and yields well-defined PS mask patterns with sharp contours. Figure 3b compares the top-view SEM morphologies of PS28k-b-PMMA28k and a series of PS32k-N=CH-PMMAx (x = 23k, 26k, 28k, 30k) thin films after thermal annealing and subsequent UV-free wet etching on four different neutral mats (Mats 63–72). The four random copolymers were synthesized via free-radical copolymerization, and the detailed synthetic procedures are provided in Figures S6–S8. The molecular weights, styrene molar fractions (FSt), and film thicknesses of the random copolymers are summarized in Table 4. The PS28k-b-PMMA28k exhibits well-defined lamellae after annealing but shows very limited contrast after etching, indicating ineffective domain-selective removal in the absence of UV exposure. This suggests that the covalent linkage between PS and PMMA blocks hinders selective etching when no photolysis is employed. In contrast, all PS-N=CH-PMMA samples exhibit clear domain contrast and well-preserved lamellar structures, confirming efficient and UV-free PMMA removal through acid-catalyzed imine bond cleavage. The detailed characterization results of the BCPs are summarized in Table 5. The conventional BCP PS28k-b-PMMA28k, with a PS volume fraction (ƒSt) close to 50%, forms defect-free, fingerprint-like lamellar morphologies over an area of ≈ 0.95 µm2 on all four neutral mats (Mats 63–72). The resulting patterns exhibit low contrast after wet etching without UV exposure. In contrast, the acid-cleavable BCP system PS-N=CH-PMMA exhibits a gradual decrease in ƒSt from 61.3% to 51.2% as the PMMA block length increases from 23k to 30k, accompanied by a morphological transition from coexisting cylindrical and lamellar phases to predominantly lamellar structures. Concurrently, the domain spacing (L0) increases from 36.1 nm to 40.2 nm, while the domain width of PMMA expands from 13.3 nm to 18.2 nm. These morphological evolutions demonstrate the tunability of microdomain geometry via PMMA block design, which is critical for optimizing etch contrast and pattern fidelity.
To assess the vertical etching behavior of lamella-forming BCPs under UV-free wet development, cross-sectional SEM and FIB-TEM analyses were conducted on PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k films after thermal annealing and subsequent acetic acid treatment, as shown in Figure 4. To assess the vertical etching behavior of lamella-forming BCPs under UV-free wet development, we performed cross-sectional SEM and FIB-TEM analyses. The specimens were PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k films subjected to thermal annealing followed by acetic acid treatment. Representative micrographs are presented in Figure 4. For the conventional PS28k-b-PMMA28k system, the top-view SEM image (Figure 4a) reveals a blurred fingerprint-like morphology, and the cross-sectional TEM (Figure 4b) shows no clear domain contrast, indicating poor PMMA removal and the absence of vertical PS features. This result is consistent with the weak etching responsiveness observed in Figure 3b and highlights the limitation of covalently linked PS-b-PMMA in UV-free wet development. In contrast, the acid-cleavable PS32k-N=CH-PMMA28k film exhibits a well-defined lamellar morphology after etching. As shown in Figure 4c,d, the PMMA domains were completely removed after wet etching, while the continuous PS phase remained intact, forming well-defined features with a thickness of approximately 48.2 nm. The interline spacing corresponding to the removed PMMA regions was measured to be 15.6 nm. The etch front reached the interface of the underlying neutral mat layer (hMat67 ≈ 9.2 nm), further confirming the high selectivity of PMMA removal enabled by the acid-cleavable block junction. This enhanced etch profile is attributed to the cleavage of the imine (-N=CH-) linkage, which decouples the PS and PMMA blocks and enables efficient dissolution of the detached PMMA domains. Collectively, these results demonstrate that the cleavable junction enhances the chemical responsiveness of the PMMA block. They also show that it preserves the dimensional fidelity of the PS nanostructure under UV-free, mild etching conditions.

3.3. Graphoepitaxial DSA and Wet Etching Behavior of Lamella-Forming PS-N=CH-PMMA on Trench Templates

To investigate the self-assembly behavior of the synthesized lamella-forming PS-N=CH-PMMA BCPs on graphoepitaxial templates and the influence of block composition on line/pattern modulation, trench templates with well-defined structural parameters were fabricated using 193i lithography, following the procedure reported by Guillaume et al. [60]. The trench critical dimension (CD) was fixed at 55 nm, while the pitch varied from 160 to 240 nm. When the trench width closely matched the natural periodicity (L0) of the BCP, a single lamellar line was confined within each trench [61]. This two-line, one-space-per-trench configuration represents a key objective of this study, as it demonstrates precise graphoepitaxial guidance and provides a viable route toward sub-30 nm line spacing patterning with high fidelity. Maintaining constant lateral confinement while varying the trench pitch is a widely adopted strategy for regulating the fill depth of BCPs [62]. By adjusting the trench density on guiding templates, the fill depth can be effectively tailored to accommodate different PS mask thickness requirements in practical applications.
As shown in Figure 5a, graphoepitaxial DSA was conducted on the trench templates in which the trench sidewalls and bottom surfaces were grafted with PS-OH brushes to establish a PS-preferential wetting interface. Following surface modification, the BCP was spin-coated onto the trench template and subsequently subjected to thermal annealing to induce the formation of lamellar structures aligned parallel to the trench direction. Thereafter, wet etching with glacial acetic acid for 5 min was conducted to selectively remove the PMMA domains, resulting in well-defined PS line patterns. Notably, the interline space width was significantly reduced compared to the original trench critical dimension of 55 nm, demonstrating a line spacing shrinkage effect analogous to the hole shrink process observed in cylindrical confinement [63]. Figure 5b presents high-resolution SEM images of the DSA line/space patterns obtained after wet etching of three PS-N=CH-PMMA copolymers (PS32k-N=CH-PMMA26k, PS32k-N=CH-PMMA28k, and PS32k-N=CH-PMMA30k), which share a fixed PS block length (32k) and differ in PMMA block lengths (26k, 28k, and 30k). All three materials yielded well-aligned sub-30 nm line spacing across the entire pitch range, confirming the feasibility of this acid-cleavable lamellar system for nanoscale pattern miniaturization. The interline space width of the resulting shrink patterns increased monotonically with PMMA block length, ranging from approximately 15 nm for PS32k-N=CH-PMMA26k to approximately 25 nm for PS32k-N=CH-PMMA30k. This trend is consistent with previous reports on conventional PS-b-PMMA systems, where longer PMMA chains promote lateral PMMA domain expansion during microphase separation, leading to wider interline space features [64]. Notably, all samples exhibited excellent dimensional control, with the line spacing local critical dimension uniformity (line width LCDU) values below 2.0 nm—substantially lower than that of the original trench CD (~3.2 nm). These results underscore the high pattern fidelity exhibited by the PS-N=CH-PMMA BCP series under graphoepitaxial confinement, achieved through direct wet etching.
To further assess vertical wet etching responsiveness and structural fidelity, a comparative FIB-TEM analysis was performed between PS32k-N=CH-PMMA28k and conventional PS28k-b-PMMA28k. Figure S9 shows top-view SEM images of PS28k-b-PMMA28k after graphoepitaxial DSA on trench templates with a CD of 50 nm and trench pitches ranging from 190 nm to 240 nm, subjected to identical DSA processing conditions. Under these conditions, PS28k-b-PMMA28k produced shallow etched features with substantial polymer residue remaining at the trench bottom (~109.7 nm) in the absence of UV pretreatment, indicating insufficient vertical separation between PMMA and PS blocks (Figure 6a,b). In contrast, PS32k-N=CH-PMMA28k (Figure 6c,d) exhibited a greater etch depth (~93.3 nm) and significantly reduced residue (~42.2 nm), demonstrating enhanced wet etch selectivity. A tapered PS mask profile was observed near the trench bottom, which is likely attributable to two contributing factors: (1) the lack of interfacial neutrality, leading to preferential PS wetting and chain accumulation at the base; and (2) slight angular deviations in the trench sidewalls, which promote asymmetric chain packing and further intensify PS enrichment at the bottom [52]. Notably, after wet etching, PS-N=CH-PMMA-based self-assembled (SA) patterns exhibit higher PS structural stability compared to density-multiplied line/space patterns formed via graphoepitaxial DSA. In the case of PS-N=CH-PMMA thin films processed under self-assembly (SA) conditions (Figure 3b), the film thickness is relatively low (≤1.25 L0), and the resulting PS matrix exhibits a fingerprint-like morphology after PMMA removal, without evidence of capillary collapse. The thinner film thickness leads to lower structural height and diminished capillary torque, thereby improving the mechanical stability of the residual PS nanostructures during wet processing [65]. In contrast, the BCP film confined within the trench during graphoepitaxial DSA is substantially thicker (≥3 L0), which increases its susceptibility to capillary-induced bending stress during PMMA removal. When the trench critical dimension (CD) closely matches the natural periodicity (L0), a single PMMA domain is formed within each trench, and the adjacent PS lamellae are laterally anchored to the trench sidewalls. This geometric confinement provides mechanical stabilization, resulting in high-fidelity pattern retention after wet etching. Under density-multiplied conditions (e.g., 5× or 4× lines per trench), the PS lines are more closely spaced and less laterally supported (as shown in Figures S10 and S11) [66]. During PMMA removal, capillary forces acting between adjacent PS lines induce lateral attraction, frequently leading to pattern collapse and bridging [67]. This analysis shows that PS-N=CH-PMMA enables UV-free wet etching in both SA and DSA configurations. Importantly, the structural integrity of DSA-derived line/space patterns is strongly dependent on line spacing and trench confinement.

3.4. Ohta–Kawasaki Model Simulation of Trench Sidewall Angle Effects on the Graphoepitaxial DSA of Lamellar PS32k-N=CH-PMMA28k

The trench sidewall angle of guiding templates is a critical yet often overlooked parameter that significantly influences the pattern morphology and fidelity of graphoepitaxial DSA. To elucidate its influence on the morphology of cleavable lamellar BCPs, we employed the OK model to simulate PS32k-N=CH-PMMA28k behavior in trench templates with different trench sidewall angles (85°, 87°, and 90°). As shown in Figure 7a, cross-sectional TEM images reveal that the fabricated templates possess slightly tapered trench sidewalls (~85°), which motivated the modeling study. Figure 7b presents top- and side-view morphologies of PS (blue) and PMMA (red) domains under varying geometric confinements. All cases exhibited vertically aligned lamellae. As the trench sidewall angle decreased from 90° to 85°, the PS domains increasingly accumulated near the trench bottom, exhibiting pronounced tapering and distortion. This trend likely results from geometric frustration induced by narrowed trench bottoms, which locally constrain polymer chain extension and promote asymmetric wetting. These effects are particularly pronounced under high aspect ratio confinement, where vertical symmetry is essential for maintaining lamellar uniformity. Moreover, the simulated PS enrichment near the trench base provides a rational explanation for the tapered PS profile and the ~42.2 nm polymer residue observed in the cross-sectional FIB-TEM images after wet etching (Figure 6d). Therefore, achieving nearly vertical trench sidewall profiles is crucial for preserving DSA pattern integrity and minimizing etch-induced PS residues, especially in UV-free wet etching systems using cleavable PS-N=CH-PMMA BCPs.

4. Conclusions

In summary, we synthesized a series of acid-cleavable, lamella-forming PS-N=CH-PMMA BCPs featuring dynamic Schiff base linkages for sub-30 nm line spacing patterning. These materials preserve the thermal processability of conventional PS-b-PMMA systems, while enabling the formation of vertically aligned fingerprint-like patterns and facilitating graphoepitaxial directed self-assembly under standard annealing conditions. The interline space within a fixed one-space-per-trench configuration was tunable from 15 to 25 nm by adjusting the PMMA block length. A direct or UV-free wet etching strategy successfully removed the PMMA domains in a single step, yielding high-fidelity PS masks with significantly improved etch selectivity and reduced residue compared to PS-b-PMMA. Under graphoepitaxial confinement, trench sidewall tapering led to asymmetric PS accumulation near the trench bottom, which remained resistant to complete removal and limited the vertical etch depth to ~93.3 nm. This morphology was corroborated by Ohta–Kawasaki simulations, confirming the geometric origin of residual features. Overall, this study confirms the feasibility of leveraging dynamic covalent chemistry to improve the wet etching capability of BCP lithography and presents a thermally compatible, UV-free wet development strategy for high-resolution nanopatterning in semiconductor fabrication.

Supplementary Materials

The following supporting information can be downloaded at: https://www.mdpi.com/article/10.3390/polym17182435/s1, Figure S1: 1H NMR spectra of PS-N=CH-PMMA BCPs with different PMMA block lengths and conventional PS-b-PMMA. Figures S2 and S3: GPC traces of hydroxyl- and aldehyde-terminated PMMA precursors with molecular weights of 23k, 26k, 28k, and 30k. Figure S4: GPC traces of PS-N=CH-PMMA BCPs. Figure S5: GPC traces of conventional PS-b-PMMA and the macroinitiator PMMA28k-Br. Figure S6: Synthetic scheme of epoxy-functional random copolymer Mats. Figures S7 and S8: 1H NMR spectra and GPC traces of Mats with different styrene molar fractions (FSt). Figure S9: Top-view SEM images of PS-b-PMMA after graphoepitaxial DSA and wet etching in trench templates (CD = 50 nm; pitch = 190–240 nm). Figures S10 and S11: Top-view SEM images of PS-b-PMMA and PS-N=CH-PMMA assembled in trench templates (width = 135–150 nm; pitch = 300 nm), exhibiting fourfold and threefold density multiplication, respectively.

Author Contributions

Conceptualization, J.Z. and S.X.; methodology, J.Z.; software, S.N. and Y.X.; validation, J.Z., C.S., M.N., and J.L.; formal analysis, J.Z. and C.S.; investigation, J.Z., C.S., and Z.W.; resources, S.G. and S.X.; data curation, J.Z.; writing—original draft preparation, J.Z.; writing—review and editing, J.Z., X.Z., Z.L., and S.X. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Data Availability Statement

The data that support the findings of this study are available on request from the corresponding author.

Acknowledgments

We gratefully acknowledge the Fudan University Nanofabrication Laboratory and Zhangjiang Laboratory for experimental assistance. We also thank the Shanghai IC R&D Center (ICRD) for providing the DSA guiding templates.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Li, W.; Shen, H.; Qiu, H.; Shi, Y.; Wang, X. Two-dimensional semiconductor transistors and integrated circuits for advanced technology nodes. Natl. Sci. Rev. 2024, 11, nwae001. [Google Scholar] [CrossRef]
  2. Basu, P.; Verma, J.; Abhinav, V.; Ratnesh, R.K.; Singla, Y.K.; Kumar, V. Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication. Int. J. Mol. Sci. 2025, 26, 3027. [Google Scholar] [CrossRef]
  3. Morsy, M.; Znid, F.; Farraj, A. A critical review on improving and moving beyond the 2 nm horizon: Future directions and impacts in next-generation integrated circuit technologies. Mater. Sci. Semicond. Process. 2025, 190, 109376. [Google Scholar] [CrossRef]
  4. Cheng, L.; Wang, Z.; Zheng, W. Diamond immersion photodetector for 193 nm lithography. Adv. Opt. Mater. 2023, 11, 2301533. [Google Scholar] [CrossRef]
  5. Watanabe, Y.; Kanaya, Y.; Saito, Y.; Sakamoto, T.; Masaki, K.; Owa, S.; Koo, T.; Tseng, D.; Sorensen, C.; Lin, B. Development of deep ultraviolet optical maskless exposure tool for advanced lithography. J. Micro/Nanopatterning Mater. Metrol. 2023, 22, 041403. [Google Scholar] [CrossRef]
  6. Liu, W.; Wang, J.; Xu, X.; Zhao, C.; Xu, X.; Weiss, P.S. Single-step dual-layer photolithography for tunable and scalable nanopatterning. ACS Nano 2021, 15, 12180–12188. [Google Scholar] [CrossRef]
  7. Lee, J.; Hwang, S.; Miyaguchi, K.; Niroomand, A.; Verstraete, L.; Yang, K.; Gillijns, W.; Das, S.; Blanco, V.; Halder, S. EUV DRAM patterning historic overview and future assumption. In Proceedings of the DTCO and Computational Patterning IV, San Jose, CA, USA, 25–28 February 2025; Volume 13425, pp. 187–199. [Google Scholar]
  8. Kazazis, D.; Santaclara, J.G.; van Schoot, J.; Mochi, I.; Ekinci, Y. Extreme ultraviolet lithography. Nat. Rev. Methods Primers 2024, 4, 84. [Google Scholar] [CrossRef]
  9. Ashraf, N.S. High-NA EUV: Prospects and Challenges and Stochastic Defects Related Manufacturing Yield Loss. In Handbook of Emerging Materials for Semiconductor Industry; Springer: Berlin/Heidelberg, Germany, 2024; pp. 253–265. [Google Scholar]
  10. Li, M.; Aqad, E. Key Challenges and Opportunities for Advanced Extreme Ultraviolet Lithography Photoresist Materials. Adv. Funct. Mater. 2025, 35, 2420962. [Google Scholar] [CrossRef]
  11. Wu, Z.; Luo, J.; Li, L.; Dong, Q.; Zhang, X.; Li, Z.; Liu, Y.; Ji, S.; Li, W.; Zhang, Y. Manipulating the processing window of directed self-assembly in contact hole shrinking with binary block copolymer/homopolymer blending. iScience 2024, 27, 109425. [Google Scholar] [CrossRef] [PubMed]
  12. Chen, Y.; Xiong, S. Directed self-assembly of block copolymers for sub-10 nm fabrication. Int. J. Extrem. Manuf. 2020, 2, 032006. [Google Scholar] [CrossRef]
  13. Pinto-Gómez, C.; Pérez-Murano, F.; Bausells, J.; Villanueva, L.G.; Fernández-Regúlez, M. Directed self-assembly of block copolymers for the fabrication of functional devices. Polymers 2020, 12, 2432. [Google Scholar] [CrossRef]
  14. Xiong, S.; Wan, L.; Ishida, Y.; Chapuis, Y.-A.; Craig, G.S.; Ruiz, R.; Nealey, P.F. Directed self-assembly of triblock copolymer on chemical patterns for sub-10-nm nanofabrication via solvent annealing. ACS Nano 2016, 10, 7855–7865. [Google Scholar] [CrossRef] [PubMed]
  15. Kim, J.H.; Jin, H.M.; Yang, G.G.; Han, K.H.; Yun, T.; Shin, J.Y.; Jeong, S.J.; Kim, S.O. Smart nanostructured materials based on self-assembly of block copolymers. Adv. Funct. Mater. 2020, 30, 1902049. [Google Scholar] [CrossRef]
  16. Feng, H.; Dolejsi, M.; Zhu, N.; Yim, S.; Loo, W.; Ma, P.; Zhou, C.; Craig, G.S.; Chen, W.; Wan, L. Optimized design of block copolymers with covarying properties for nanolithography. Nat. Mater. 2022, 21, 1426–1433. [Google Scholar] [CrossRef] [PubMed]
  17. Singh, M.; Agrawal, A.; Wu, W.; Masud, A.; Armijo, E.; Gonzalez, D.; Zhou, S.; Terlier, T.; Zhu, C.; Strzalka, J. Soft-shear-aligned vertically oriented lamellar block copolymers for template-free sub-10 nm patterning and hybrid nanostructures. ACS Appl. Mater. Interfaces 2022, 14, 12824–12835. [Google Scholar] [CrossRef]
  18. Huang, G.; Lai, H.; Song, J.; Jiang, Y.; Ji, S. A universal approach to fabricating 3D chemical patterns for directed self-assembly of block copolymers with density multiplication. Macromolecules 2023, 56, 5784–5791. [Google Scholar] [CrossRef]
  19. Kim, J.H.; Jeong, H.U.; Yeom, H.I.; Han, K.H.; Yang, G.G.; Choi, H.J.; Kim, J.M.; Park, S.H.K.; Jin, H.M.; Kim, J.U. Atomically Flat, 2D Edge-Directed Self-Assembly of Block Copolymers. Adv. Mater. 2023, 35, 2207338. [Google Scholar] [CrossRef]
  20. Yang, G.G.; Choi, H.J.; Han, K.H.; Kim, J.H.; Lee, C.W.; Jung, E.I.; Jin, H.M.; Kim, S.O. Block copolymer nanopatterning for nonsemiconductor device applications. ACS Appl. Mater. Interfaces 2022, 14, 12011–12037. [Google Scholar] [CrossRef]
  21. Sparnacci, K.; Chiarcos, R.; Gianotti, V.; Laus, M.; Giammaria, T.J.; Perego, M.; Munaò, G.; Milano, G.; De Nicola, A.; Haese, M. Effect of Trapped Solvent on the Interface between PS-b-PMMA Thin Films and P (S-r-MMA) Brush Layers. ACS Appl. Mater. Interfaces 2020, 12, 7777–7787. [Google Scholar] [CrossRef]
  22. Yoshida, K.; Tanaka, S.; Yamamoto, T.; Tajima, K.; Borsali, R.; Isono, T.; Satoh, T. Chain-end functionalization with a saccharide for 10 nm microphase separation:“Classical” PS-b-PMMA versus PS-b-PMMA-saccharide. Macromolecules 2018, 51, 8870–8877. [Google Scholar] [CrossRef]
  23. Wan, L.; Ruiz, R.; Gao, H.; Patel, K.C.; Albrecht, T.R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The limits of lamellae-forming PS-b-PMMA block copolymers for lithography. ACS Nano 2015, 9, 7506–7514. [Google Scholar] [CrossRef] [PubMed]
  24. Farrell, R.A.; Petkov, N.; Shaw, M.T.; Djara, V.; Holmes, J.D.; Morris, M.A. Monitoring PMMA elimination by reactive ion etching from a lamellar PS-b-PMMA thin film by ex situ TEM methods. Macromolecules 2010, 43, 8651–8655. [Google Scholar] [CrossRef]
  25. Bürger, J.; Venugopal, H.; Kool, D.; de los Arcos, T.; Gonzalez Orive, A.; Grundmeier, G.; Brassat, K.; Lindner, J.K. High-Resolution Study of Changes in Morphology and Chemistry of Cylindrical PS-b-PMMA Block Copolymer Nanomasks during Mask Development. Adv. Mater. Interfaces 2022, 9, 2200962. [Google Scholar] [CrossRef]
  26. Sarrazin, A.; Posseme, N.; Pimenta-Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Tiron, R.; Cardinaud, C. PMMA removal selectivity to polystyrene using dry etch approach. J. Vac. Sci. Technol. B 2016, 34, 061802. [Google Scholar] [CrossRef]
  27. Wu, H.-C.; Liao, M.-C.; Hirahara, E.; Iwaki, T. Wet etch process for high-resolution DSA patterning for advanced node DRAM. In Advances in Patterning Materials and Processes XLI; SPIE: Bellingham, WA, USA, 2024; Volume 12957, pp. 374–383. [Google Scholar]
  28. Gu, X.; Liu, Z.; Gunkel, I.; Chourou, S.; Hong, S.W.; Olynick, D.L.; Russell, T.P. High aspect ratio sub-15 nm silicon trenches from block copolymer templates. Adv. Mater. 2012, 24, 5688–5694. [Google Scholar] [CrossRef]
  29. Borah, D.; Senthamaraikannan, R.; Rasappa, S.; Kosmala, B.; Holmes, J.D.; Morris, M.A. Swift nanopattern formation of PS-b-PMMA and PS-b-PDMS block copolymer films using a microwave assisted technique. ACS Nano 2013, 7, 6583–6596. [Google Scholar] [CrossRef]
  30. Delalande, M.; Cunge, G.; Chevolleau, T.; Bézard, P.; Archambault, S.; Joubert, O.; Chevalier, X.; Tiron, R. Development of plasma etching processes to pattern sub-15 nm features with PS-b-PMMA block copolymer masks: Application to advanced CMOS technology. J. Vac. Sci. Technol. B 2014, 32, 051806. [Google Scholar] [CrossRef]
  31. Imamura, T.; Yamamoto, H.; Omura, M.; Sakai, I.; Hayashi, H. Highly selective removal of poly (methyl methacrylate) from polystyrene-block-poly (methyl methacrylate) by CO/H2 plasma etching. J. Vac. Sci. Technol. B 2015, 33, 061601. [Google Scholar] [CrossRef]
  32. Nemani, S.K.; Annavarapu, R.K.; Mohammadian, B.; Raiyan, A.; Heil, J.; Haque, M.A.; Abdelaal, A.; Sojoudi, H. Surface modification of polymers: Methods and applications. Adv. Mater. Interfaces 2018, 5, 1801247. [Google Scholar] [CrossRef]
  33. Zajadacz, J.; Lorenz, P.; Frost, F.; Fechner, R.; Steinberg, C.; Scheer, H.-C.; Zimmer, K. Reactive ion beam etching of fused silica using vertical lamellar patterns of PS-b-PMMA diblock copolymer masks. Microelectron. Eng. 2015, 141, 289–293. [Google Scholar] [CrossRef]
  34. Gharbi, A.; Tiron, R.; Pimenta Barros, P.; Argoud, M.; Servin, I.; Chevalier, X.; Nicolet, C.; Navarro, C. PMMA removal options by wet development in PS-b-PMMA block copolymer for nanolithographic mask fabrication. J. Vac. Sci. Technol. B 2015, 33, 051602. [Google Scholar] [CrossRef]
  35. Zheng, N.; Xu, Y.; Zhao, Q.; Xie, T. Dynamic covalent polymer networks: A molecular platform for designing functions beyond chemical recycling and self-healing. Chem. Rev. 2021, 121, 1716–1745. [Google Scholar] [CrossRef]
  36. Jia, Y.; Li, J. Molecular assembly of Schiff base interactions: Construction and application. Chem. Rev. 2015, 115, 1597–1621. [Google Scholar] [CrossRef]
  37. Zhan, J.; Wu, Y.; Wang, H.; Liu, J.; Ma, Q.; Xiao, K.; Li, Z.; Li, J.; Luo, F.; Tan, H. An injectable hydrogel with pH-sensitive and self-healing properties based on 4armPEGDA and N-carboxyethyl chitosan for local treatment of hepatocellular carcinoma. Int. J. Biol. Macromol. 2020, 163, 1208–1222. [Google Scholar] [CrossRef]
  38. Xu, X.; Ma, S.; Wu, J.; Yang, J.; Wang, B.; Wang, S.; Li, Q.; Feng, J.; You, S.; Zhu, J. High-performance, command-degradable, antibacterial Schiff base epoxy thermosets: Synthesis and properties. J. Mater. Chem. A 2019, 7, 15420–15431. [Google Scholar] [CrossRef]
  39. Wang, W.; Shi, D.; Zhang, Y.; Li, W.; Li, F.; Feng, H.; Ma, L.; Yang, C.; Peng, Z.; Song, G. An injectable hydrogel based on hyaluronic acid prepared by Schiff base for long-term controlled drug release. Int. J. Biol. Macromol. 2023, 245, 125341. [Google Scholar] [CrossRef] [PubMed]
  40. Zhang, H.; Liu, P.; Peng, X.; Chen, S.; Zhang, K. Interfacial synthesis of cellulose-derived solvent-responsive nanoparticles via Schiff base reaction. ACS Sustain. Chem. Eng. 2019, 7, 16595–16603. [Google Scholar] [CrossRef]
  41. Segura, J.L.; Mancheño, M.J.; Zamora, F. Covalent organic frameworks based on Schiff-base chemistry: Synthesis, properties and potential applications. Chem. Soc. Rev. 2016, 45, 5635–5671. [Google Scholar] [CrossRef] [PubMed]
  42. Zhai, Y.; Li, C.; Gao, L. Degradable block copolymer-derived nanoporous membranes and their applications. Giant 2023, 16, 100183. [Google Scholar] [CrossRef]
  43. Xin, Y.; Yuan, J. Schiff’s base as a stimuli-responsive linker in polymer chemistry. Polym. Chem. 2012, 3, 3045–3055. [Google Scholar] [CrossRef]
  44. He, Q.; Huang, J.; Liang, H.; Lu, J. Light-responsive fluorescent cross-linked polymeric micelles based on a salicylidene Schiff base pendant-functionalized block copolymer. Polym. Chem. 2014, 5, 4348–4357. [Google Scholar] [CrossRef]
  45. Miksa, B. Recent progress in designing shell cross-linked polymer capsules for drug delivery. RSC Adv. 2015, 5, 87781–87805. [Google Scholar] [CrossRef]
  46. Williams, V.A.; Matyjaszewski, K. Expanding the ATRP toolbox: Methacrylate polymerization with an elemental silver reducing agent. Macromolecules 2015, 48, 6457–6464. [Google Scholar] [CrossRef]
  47. Park, S.Y.; Choi, C.; Lee, K.S.; Kim, E.; Ahn, S.; Lee, J.; Kim, J.K. Microdomain orientation of star-shaped block copolymer thin film depending on molecular weight. Macromolecules 2020, 53, 3611–3618. [Google Scholar] [CrossRef]
  48. Perego, M.; Kuschlan, S.; Seguini, G.; Chiarcos, R.; Gianotti, V.; Antonioli, D.; Sparnacci, K.; Laus, M. Silicon doping by polymer grafting: Size distribution matters. ACS Appl. Polym. Mater. 2021, 3, 6383–6393. [Google Scholar] [CrossRef]
  49. Hansen-Felby, M.; Henriksen, M.L.; Pedersen, S.U.; Daasbjerg, K. Postfunctionalization of self-immolative poly (dithiothreitol) using Steglich esterification. Macromolecules 2022, 55, 5788–5794. [Google Scholar] [CrossRef]
  50. Liu, J.; Guo, H.; Pang, X.; Tan, X.; Akinc, M.; Lin, Z.; Bowler, N. Dynamics of polystyrene-block-poly(methylmethacrylate) (PS-b-PMMA) diblock copolymers and PS/PMMA blends: A dielectric study. J. Non-Cryst. Solids 2013, 359, 27–32. [Google Scholar] [CrossRef]
  51. Ham, S.; Shin, C.; Kim, E.; Ryu, D.Y.; Jeong, U.; Russell, T.P.; Hawker, C.J. Microdomain Orientation of PS-b-PMMA by Controlled Interfacial Interactions. Macromolecules 2008, 41, 6431–6437. [Google Scholar] [CrossRef]
  52. Yoshimoto, K.; Taniguchi, T. Large-scale simulations of directed self-assembly with simplified model. J. Photopolym. Sci. Technol. 2013, 26, 809–816. [Google Scholar] [CrossRef]
  53. Tsakos, M.; Schaffert, E.S.; Clement, L.L.; Villadsen, N.L.; Poulsen, T.B. Ester coupling reactions–an enduring challenge in the chemical synthesis of bioactive natural products. Nat. Prod. Rep. 2015, 32, 605–632. [Google Scholar] [CrossRef]
  54. Liu, H.; Jiang, X.; Fan, J.; Wang, G.; Liu, S. Aldehyde surface-functionalized shell cross-linked micelles with pH-tunable core swellability and their bioconjugation with lysozyme. Macromolecules 2007, 40, 9074–9083. [Google Scholar] [CrossRef]
  55. Jung, S.; Yoon, H.J. Mechanical Force for the Transformation of Aziridine into Imine. Angew. Chem. Int. Ed. 2021, 60, 23564–23568. [Google Scholar] [CrossRef]
  56. Ferrarese Lupi, F.; Giammaria, T.J.; Seguini, G.; Vita, F.; Francescangeli, O.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Perego, M. Fine tuning of lithographic masks through thin films of PS-b-PMMA with different molar mass by rapid thermal processing. ACS Appl. Mater. Interfaces 2014, 6, 7180–7188. [Google Scholar] [CrossRef] [PubMed]
  57. Ahn, H.; Lee, Y.; Lee, H.; Park, S.; Kim, Y.; Cho, J.; Ryu, D.Y. Microdomain expansion and transition behavior of PS-b-PMMA/PS homopolymers by SAXS analysis. Polymer 2012, 53, 5163–5169. [Google Scholar] [CrossRef]
  58. Dolejsi, M.; Nealey, P. Utilization of metal–polymer interactions for self-aligned directed self-assembly of device relevant features. J. Micro/Nanolithogr. MEMS MOEMS 2018, 17, 031204. [Google Scholar] [CrossRef]
  59. Suh, H.S.; Dudash, V.; Lorusso, G.; Mack, C. Roughness study on line and space patterning with chemo-epitaxy directed self-assembly. In Advances in Patterning Materials and Processes XXXVII; SPIE: Bellingham, WA, USA, 2020; Volume 11326, pp. 146–154. [Google Scholar]
  60. Claveau, G.; Quemere, P.; Argoud, M.; Hazart, J.; Barros, P.P.; Sarrazin, A.; Posseme, N.; Tiron, R.; Chevalier, X.; Nicolet, C. Surface affinity role in graphoepitaxy of lamellar block copolymers. J. Micro/Nanolithogr. MEMS MOEMS 2016, 15, 031604. [Google Scholar] [CrossRef]
  61. Zhang, B.; Meng, L.; Li, Z. Study of the ordered assembly morphologies of diblock copolymers on the same substrate. RSC Adv. 2022, 12, 28376–28387. [Google Scholar] [CrossRef]
  62. Barros, P.P.; Gharbi, A.; Fouquet, A.; Bos, S.; Hazart, J.; Delachat, F.; Chevalier, X.; Cayrefourcq, I.; Pain, L.; Tiron, R. Balancing Block Copolymer Thickness over Template Density in Graphoepitaxy Approach. Macromol. Mater. Eng. 2017, 302, 1700285. [Google Scholar] [CrossRef]
  63. Seino, Y.; Yonemitsu, H.; Sato, H.; Kanno, M.; Kato, H.; Kobayashi, K.; Kawanishi, A.; Azuma, T.; Muramatsu, M.; Nagahara, S. Contact hole shrink process using graphoepitaxial directed self-assembly lithography. J. Micro/Nanolithogr. MEMS MOEMS 2013, 12, 033011. [Google Scholar] [CrossRef]
  64. Navarro, C.; Nicolet, C.; Ariura, F.; Chevalier, X.; Xu, K.; Hockey, M.A.; Mumtaz, M.; Fleury, G.; Hadziioannou, G.; Legrain, A. Recent Achievements in sub-10 nm DSA lithography for Line/Space patterning. J. Photopolym. Sci. Technol. 2017, 30, 69–75. [Google Scholar] [CrossRef]
  65. Bruinink, C.M.; Péter, M.; Maury, P.A.; De Boer, M.; Kuipers, L.; Huskens, J.; Reinhoudt, D.N. Capillary force lithography: Fabrication of functional polymer templates as versatile tools for nanolithography. Adv. Funct. Mater. 2006, 16, 1555–1565. [Google Scholar] [CrossRef]
  66. Wang, S.; Deng, W.; Seow, Y.A.; Chen, B.; Lin, Q.Y. Study of line-space pitch multiplication using graphoepitaxy directed self-assembly for semiconductor applications. J. Electron. Mater. 2017, 46, 4405–4413. [Google Scholar] [CrossRef]
  67. Tawfick, S.; De Volder, M.; Copic, D.; Park, S.J.; Oliver, C.R.; Polsen, E.S.; Roberts, M.J.; Hart, A.J. Engineering of micro-and nanostructured surfaces with anisotropic geometries and properties. Adv. Mater. 2012, 24, 1628–1674. [Google Scholar] [CrossRef] [PubMed]
Scheme 1. Concept and chemical structure of conventional type PS-b-PMMA and Schiff base bond type PS-N=CH-PMMA.
Scheme 1. Concept and chemical structure of conventional type PS-b-PMMA and Schiff base bond type PS-N=CH-PMMA.
Polymers 17 02435 sch001
Figure 1. Synthetic routes for (a) conventional BCP PS-b-PMMA, (b) aldehyde-terminated PMMA (PMMA-CHO), and (c) acid-cleavable BCP PS-N=CH-PMMA.
Figure 1. Synthetic routes for (a) conventional BCP PS-b-PMMA, (b) aldehyde-terminated PMMA (PMMA-CHO), and (c) acid-cleavable BCP PS-N=CH-PMMA.
Polymers 17 02435 g001aPolymers 17 02435 g001b
Figure 2. Characterization of precursor polymers and BCPs. (a) 1H NMR spectra of PMMA28k-OH and PMMA28k-CHO. (b) 1H NMR spectra of PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k. (c) GPC traces of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k. (d) FTIR of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k. (e) TGA curves of PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k. (f) DSC thermograms of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k.
Figure 2. Characterization of precursor polymers and BCPs. (a) 1H NMR spectra of PMMA28k-OH and PMMA28k-CHO. (b) 1H NMR spectra of PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k. (c) GPC traces of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k. (d) FTIR of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k. (e) TGA curves of PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k. (f) DSC thermograms of PMMA28k-OH, PMMA28k-CHO, PS32k-NH2, and PS32k-N=CH-PMMA28k.
Polymers 17 02435 g002
Figure 3. Lamella-forming PS-N=CH-PMMA BCP thin film self-assembly and wet etching for PS mask fabrication. (a) Schematic illustration of the process for fabricating PS masks from acid-cleavable PS-N=CH-PMMA BCP. (b) Top-view SEM images of PS28k-b-PMMA28k and a series of PS32k-N=CH-PMMAx (x = 23k, 26k, 28k, and 30k) thin films thermally annealed on different mats (Mat 63, Mat 65, Mat 67, and Mat 72), followed by wet etching.
Figure 3. Lamella-forming PS-N=CH-PMMA BCP thin film self-assembly and wet etching for PS mask fabrication. (a) Schematic illustration of the process for fabricating PS masks from acid-cleavable PS-N=CH-PMMA BCP. (b) Top-view SEM images of PS28k-b-PMMA28k and a series of PS32k-N=CH-PMMAx (x = 23k, 26k, 28k, and 30k) thin films thermally annealed on different mats (Mat 63, Mat 65, Mat 67, and Mat 72), followed by wet etching.
Polymers 17 02435 g003
Figure 4. Top-view SEM images tilted by 45° and FIB-TEM cross-sectional images of lamella-forming BCP thin films, PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k, after thermal annealing on Mat67 and subsequent wet etching. (a,b) Top-view SEM (a) and cross-sectional TEM (b) images of PS28k-b-PMMA28k thin film. (c,d) Top-view SEM (c) and cross-sectional TEM (d) images of PS32k-N=CH-PMMA28k thin film. The dotted white lines in panels (a,c) indicate the positions where the cross-sectional TEM images in panels (b,d) were taken.
Figure 4. Top-view SEM images tilted by 45° and FIB-TEM cross-sectional images of lamella-forming BCP thin films, PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k, after thermal annealing on Mat67 and subsequent wet etching. (a,b) Top-view SEM (a) and cross-sectional TEM (b) images of PS28k-b-PMMA28k thin film. (c,d) Top-view SEM (c) and cross-sectional TEM (d) images of PS32k-N=CH-PMMA28k thin film. The dotted white lines in panels (a,c) indicate the positions where the cross-sectional TEM images in panels (b,d) were taken.
Polymers 17 02435 g004
Figure 5. Morphological analysis of PS-N=CH-PMMA BCPs after graphoepitaxial DSA and wet etching. (a) Schematic illustration of the graphoepitaxial DSA process using lamella-forming PS-N=CH-PMMA BCPs in 193i-fabricated trench templates with a critical dimension (CD) of 55 nm. A PS-preferential brush was grafted to sidewalls, followed by spin coating, thermal annealing, and selective removal of PMMA domains to generate PS line patterns. (b) Top-view SEM images, including large-area overviews and locally magnified views (0.61 μm × 0.61 μm), along with corresponding bar plots showing average line widths and line width critical dimension uniformity (line width LCDU) for PS32k-N=CH-PMMA26k, PS32k-N=CH-PMMA28k, and PS32k-N=CH-PMMA30k under varying pitch conditions (160–240 nm). Line width and line width LCDU values were measured after wet etching (n = 20).
Figure 5. Morphological analysis of PS-N=CH-PMMA BCPs after graphoepitaxial DSA and wet etching. (a) Schematic illustration of the graphoepitaxial DSA process using lamella-forming PS-N=CH-PMMA BCPs in 193i-fabricated trench templates with a critical dimension (CD) of 55 nm. A PS-preferential brush was grafted to sidewalls, followed by spin coating, thermal annealing, and selective removal of PMMA domains to generate PS line patterns. (b) Top-view SEM images, including large-area overviews and locally magnified views (0.61 μm × 0.61 μm), along with corresponding bar plots showing average line widths and line width critical dimension uniformity (line width LCDU) for PS32k-N=CH-PMMA26k, PS32k-N=CH-PMMA28k, and PS32k-N=CH-PMMA30k under varying pitch conditions (160–240 nm). Line width and line width LCDU values were measured after wet etching (n = 20).
Polymers 17 02435 g005aPolymers 17 02435 g005b
Figure 6. Cross-sectional TEM images of PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k after graphoepitaxial DSA and subsequent wet etching. (a) Cross-sectional TEM images of PS28k-b-PMMA28k assembled in trench templates (CD = 50 nm, pitch = 190 nm). (b) Magnified view of the region highlighted in (a). (c) Cross-sectional TEM images of PS32k-N=CH-PMMA28k assembled in trench templates (CD = 55 nm, pitch = 190 nm). (d) Magnified view of the region highlighted in (c).
Figure 6. Cross-sectional TEM images of PS28k-b-PMMA28k and PS32k-N=CH-PMMA28k after graphoepitaxial DSA and subsequent wet etching. (a) Cross-sectional TEM images of PS28k-b-PMMA28k assembled in trench templates (CD = 50 nm, pitch = 190 nm). (b) Magnified view of the region highlighted in (a). (c) Cross-sectional TEM images of PS32k-N=CH-PMMA28k assembled in trench templates (CD = 55 nm, pitch = 190 nm). (d) Magnified view of the region highlighted in (c).
Polymers 17 02435 g006
Figure 7. Effect of trench sidewall angle on the directed self-assembly of lamella-forming BCP PS32k-N=CH-PMMA28k simulated by the Ohta–Kawasaki model. (a) Cross-sectional TEM images of trench array guiding templates with non-vertical sidewalls. The magnified view highlights a sidewall angle of 85°. (b) Ohta–Kawasaki simulations of BCP directed self-assembly within trench templates with sidewall angles of 85°, 87°, and 90°. Top-view (top row) and side-view (bottom row) profiles show the evolution of PS and PMMA domain morphology under varying confinement geometries. PS domains are shown in blue, and PMMA in red.
Figure 7. Effect of trench sidewall angle on the directed self-assembly of lamella-forming BCP PS32k-N=CH-PMMA28k simulated by the Ohta–Kawasaki model. (a) Cross-sectional TEM images of trench array guiding templates with non-vertical sidewalls. The magnified view highlights a sidewall angle of 85°. (b) Ohta–Kawasaki simulations of BCP directed self-assembly within trench templates with sidewall angles of 85°, 87°, and 90°. Top-view (top row) and side-view (bottom row) profiles show the evolution of PS and PMMA domain morphology under varying confinement geometries. PS domains are shown in blue, and PMMA in red.
Polymers 17 02435 g007
Table 1. GPC characterization results of PMMA-OH samples with different molecular weights.
Table 1. GPC characterization results of PMMA-OH samples with different molecular weights.
SamplesMp
(kg/mol)
Mn
(kg/mol)
Mw
(kg/mol)
PDIDPn
PMMA23k-OH25.323.025.11.09230
PMMA26k-OH27.225.927.51.09259
PMMA28k-OH31.328.230.71.09282
PMMA30k-OH32.630.132.81.09301
Table 2. GPC results of PMMA-CHO samples with different molecular weights.
Table 2. GPC results of PMMA-CHO samples with different molecular weights.
SamplesMp
(kg/mol)
Mn
(kg/mol)
Mw
(kg/mol)
PDIGE (%)
PMMA23k-CHO25.523.125.21.0987.3
PMMA26k-CHO27.726.128.41.0986.1
PMMA28k-CHO31.928.331.21.0985.9
PMMA30k-CHO33.630.333.11.0984.2
Table 3. GPC results and block compositions of PS-b-PMMA and PS-N=CH-PMMA copolymers with varying PMMA block lengths.
Table 3. GPC results and block compositions of PS-b-PMMA and PS-N=CH-PMMA copolymers with varying PMMA block lengths.
SamplesMp
(kg/mol)
Mn
(kg/mol)
Mw
(kg/mol)
PDI
PS28k-b-PMMA28k64.8 56.3 61.9 1.10
PS32k-N=CH-PMMA23k65.255.362.51.13
PS32k-N=CH-PMMA26k68.058.065.61.13
PS32k-N=CH-PMMA28k70.260.168.01.13
PS32k-N=CH-PMMA30k71.961.769.71.13
Table 4. GPC results and styrene molar fractions of random copolymer poly (St-co-MMA-co-GMA).
Table 4. GPC results and styrene molar fractions of random copolymer poly (St-co-MMA-co-GMA).
SamplesMp (kg/mol)Mn (kg/mol)Mw (kg/mol)PDIFSt (%)Film Thickness (nm)
Mat6339.224.137.41.5563.27.8
Mat6541.325.139.41.5765.48.5
Mat6744.128.143.81.5667.19.7
Mat7245.628.544.21.5572.310.2
Table 5. Characterization of PS-b-PMMA and PS-N=CH-PMMA BCPs.
Table 5. Characterization of PS-b-PMMA and PS-N=CH-PMMA BCPs.
SamplesMn of PMMA a
(kg/mol)
ƒSt b
(%)
Domain Width of PMMA c
(nm)
L0 d
(nm)
Film Thickness e
(nm)
PS28k-b-PMMA28k28.151.212.929.838.3
PS32k-N=CH-PMMA23k23.161.3---
PS32k-N=CH-PMMA26k26.157.213.336.145.1
PS32k-N=CH-PMMA28k28.354.815.438.347.9
PS32k-N=CH-PMMA30k30.352.418.240.250.2
a Mn of the PMMA was determined by GPC. b ƒSt was calculated by 1H NMR. c PMMA domain width was measured from SEM analysis of BCP thin films self-assembled on the neutral substrate Mat67. d Domain spacing (L0) was obtained by SEM analysis of BCP thin films self-assembled on the neutral substrate Mat67. e Film thickness was measured using a Filmetrics F20-UV spectroscopic reflectometer.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhan, J.; Shang, C.; Niu, M.; Luo, J.; Gao, S.; Wu, Z.; Niu, S.; Xu, Y.; Zhang, X.; Li, Z.; et al. An Acid-Cleavable Lamellar Block Copolymer for Sub-30-nm Line Spacing Patterning via Graphoepitaxial Directed Self-Assembly and Direct Wet Etching. Polymers 2025, 17, 2435. https://doi.org/10.3390/polym17182435

AMA Style

Zhan J, Shang C, Niu M, Luo J, Gao S, Wu Z, Niu S, Xu Y, Zhang X, Li Z, et al. An Acid-Cleavable Lamellar Block Copolymer for Sub-30-nm Line Spacing Patterning via Graphoepitaxial Directed Self-Assembly and Direct Wet Etching. Polymers. 2025; 17(18):2435. https://doi.org/10.3390/polym17182435

Chicago/Turabian Style

Zhan, Jianghao, Caiwei Shang, Muqiao Niu, Jiacheng Luo, Shengguang Gao, Zhiyong Wu, Shengru Niu, Yiming Xu, Xingmiao Zhang, Zili Li, and et al. 2025. "An Acid-Cleavable Lamellar Block Copolymer for Sub-30-nm Line Spacing Patterning via Graphoepitaxial Directed Self-Assembly and Direct Wet Etching" Polymers 17, no. 18: 2435. https://doi.org/10.3390/polym17182435

APA Style

Zhan, J., Shang, C., Niu, M., Luo, J., Gao, S., Wu, Z., Niu, S., Xu, Y., Zhang, X., Li, Z., & Xiong, S. (2025). An Acid-Cleavable Lamellar Block Copolymer for Sub-30-nm Line Spacing Patterning via Graphoepitaxial Directed Self-Assembly and Direct Wet Etching. Polymers, 17(18), 2435. https://doi.org/10.3390/polym17182435

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Article metric data becomes available approximately 24 hours after publication online.
Back to TopTop