Next Article in Journal
Development of PLA/EVA Reactive Blends for Heat-Shrinkable Film
Previous Article in Journal
3D Bioprinting of Novel Biocompatible Scaffolds for Endothelial Cell Repair
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation

1
Department of Polymer Engineering, Graduate School, Chonnam National University, Gwangju 61186, Korea
2
School of Polymer Science and Engineering, Chonnam National University, Gwangju 61186, Korea
3
Department of Applied Physics, Hanyang University, Ansan-si 15588, Korea
4
Department of Advanced Patterning, IMEC, 3001 Leuven, Belgium
5
Department of Chemistry, KU Leuven, 3000 Leuven, Belgium
6
Department of Chemistry, Inha University, Incheon 22212, Korea
*
Authors to whom correspondence should be addressed.
These authors contributed equally to this work (co-first author).
Polymers 2019, 11(12), 1923; https://doi.org/10.3390/polym11121923
Submission received: 2 October 2019 / Revised: 13 November 2019 / Accepted: 19 November 2019 / Published: 22 November 2019
(This article belongs to the Section Polymer Physics and Theory)

Abstract

:
Extreme ultraviolet lithography (EUVL) is a leading-edge technology for pattern miniaturization and the production of advanced electronic devices. One of the current critical challenges for further scaling down the technology is reducing the line-edge roughness (LER) of the final patterns while simultaneously maintaining high resolution and sensitivity. As the target sizes of features and LER become closer to the polymer size, polymer chain conformations and their distribution should be considered to understand the primary sources of LER. Here, we proposed a new approach of EUV photoresist modeling with an explicit description of polymer chains using a coarse-grained model. Our new simulation model demonstrated that interface variation represented by width and fluctuation at the edge of the pattern could be caused by characteristic changes of the resist material during the lithography processes. We determined the effect of polymer chain conformation on LER formation and how it finally contributed to LER formation with various resist material parameters (e.g., Flory–Huggins parameter, molecular weight, protected site ratio, and Tg).

Graphical Abstract

1. Introduction

Extreme ultraviolet (EUV) lithography technology [1,2] is the selected lithographic technology for sub-1x nm patterning [3]. However, important challenges need to be tackled to produce EUV for high-volume manufacturing (HVM), including source power availability, keeping the mask defect free, and inspection of the infrastructure for defects [4,5,6,7,8]. Source power availability for EUV HVM has significantly improved in the last few years. As of now, it has achieved reliable source operation with desired availability [8,9]. However, issues with EUV photoresist, especially line-edge roughness (LER) and line-width roughness (LWR) reduction remain crucial challenges when increasing the resolution or the sensitivity.
Chemically amplified resist (CAR) that allows high throughput with an acid-catalyzed reaction to induce a solubility switch in the photoresist is the most widely investigated material for high-resolution EUV photoresist [10,11,12,13,14]. CAR mainly consists of a polymer matrix of copolymers containing protected functional groups usually blended with a photoacid generator (PAG) and a quencher. These polymers and additives undergo characteristic changes by external factors, such as lights and temperature, in an elaborate reaction mechanism that modifies the material during the lithography processes [2,15]. During the exposure step, part of the resist is exposed to photons (13.5 nm wavelength) that are absorbed by atoms, while the electrons are excited and emitted. During elastic and inelastic scattering in the matrix, these electrons generate a cascade of secondary electrons, which interact with PAG molecules [16]. This results in the generation of acids (protons) where the material is exposed to light [17,18]. After exposure, a post-exposure bake (PEB) is applied. The generated acids can diffuse in the polymer matrix and catalyze a deprotection reaction, converting the hydrophobic protected functional groups of the polymer matrix into hydrophilic functional groups that are soluble in the aqueous developer. Hence, polymer chains in the EUV exposed area are soluble in an aqueous developer and dissolved during the development step. In such positive-tone EUV photoresist, desired patterns are finally formed by the remaining polymers after development. Spatial fluctuation along the line at the edge of the pattern after development is called line-edge roughness (LER). LER is the result of various physical phenomena and chemical reactions between the components of the resist material that occur throughout the lithography steps. Thus, LER is affected by several complex factors, for example, diverse process parameters, aerial image quality, PEB, and development conditions.
To tackle the challenge of LER and other patterning issues in the lithography process, various computational modeling studies of EUV photoresist have been conducted. Recent EUV photoresist simulations for LER analysis have mostly focused on stochastic effects, adding random variables based on a continuum model. Kozawa et al. [19,20,21,22] have studied acid diffusion behavior and described it with a Gaussian distribution in a continuum or mesoscale level. Mesoscale models incorporating the effects of the component materials of CAR have been developed based on a lattice model including PAG aggregation and the dissolution process [23,24]. However, previous approaches did not include an explicit description of the polymer itself while focusing on stochastic effects. Rarely has the physical description of polymer chains and their movements been reported. Recently, Kim et al. [25,26,27] studied the mechanism of photochemical reactions in an EUV photoresist matrix and the resulting pattern edge morphology with atomic molecular dynamics simulation, although time and length scales are relatively short and small due to the nature of the simulations. Ideally, EUV photoresist simulations should involve the modeling of relevant components, explicitly polymer chains and additives, with a good understanding of complex physical and chemical reaction occurring under the lithographic conditions, at dimension and time scales relevant for patterning.
In this paper, we proposed a novel molecular approach that describes polymer chain behavior in EUV photoresist. During the exposure and PEB processes, the characteristic variations in the interface between the exposed and unexposed areas were determined using our model. We verified the effect of interface variations on LER formation after development. Our molecular approach and simulation can accurately assess the impact of motions and conformation changes of EUV resist polymer chains on LER formation.

2. Model and Methods

The major limitations of the atomistic model are time and dimension restrictions of the model system (a few nanoseconds and a few nanometers, respectively). Therefore, it is difficult to investigate the molecular phenomena of the current patterning process with real-time scales and pitch sizes. Since we aimed to investigate the chain conformation and its effects on LER formation, we adapted a coarse-grained bead and spring model for the description of polymer chains explicitly and developed a numerical simulation method for a 16 nm half-pitch patterning process, which is schematically illustrated with a conventional point of view in Figure 1a. Figure 1b shows a schematic of the variations in the polymer chain conformations during the lithography processes. The yellow, red, and blue beads represent the polymer backbone, protected sites, and deprotected sites, respectively. As a relevant example, the minimum simulation box size required for the 16 nm half-pitch process was 32 nm in a direction perpendicular to the line pattern. Considering the film thickness of photoresist (30 nm), the system size was set to 32 × 32 × 30 nm with periodic boundary conditions in the x- and y-directions, while impenetrable walls were used in the z-direction to simulate the film structure.
Polymer chains, the main components of EUV CARs, were modeled with discretized Gaussian chains of N coarse-grained beads and spring connecting beads. The chains of N = 16, 32, 64, and 128 correspond to an EUV resist with molecular weights around 2000 g/mol, 4000 g/mol, 8000 g/mol, and 16,000 g/mol, respectively. Among the N beads in a polymer chain, the beads representing the protected sites were randomly selected according to a given ratio of the functional sites in the polymer chain (fp). The remainder of the beads were assigned as the polymer backbone. At temperature T, the bonded energy is given by the following:
H b k B T = 3 2 N 1 R e 2 k = 1 n i = 1 N 1 b k 2 ( i ) ,
where kB is Boltzmann’s constant, bk(i) is the bond vector of the ith bead in the kth chain, and R e 2 is the mean squared end-to-end distance of an ideal chain of N = 128. Simulations for the resist of different molecular weights are conducted by varying the number of coarse-grained beads, N, while the reference length unit and the averaged bond length are fixed at R e and b 0 of N = 128, respectively. A spin-coated photoresist film was prepared by randomly distributing chains over the simulation box with a fixed bond length of b 0 = R e / N 1 where N = 128. The first bead of each chain is placed randomly in the simulation box, and the position of the next bead along the chain is randomly selected on a sphere of a radius of b 0 , centered at the previous bead position. Monte Carlo (MC) iteration was performed to relax the chain to satisfy Boltzmann distribution, assuming that the post-applied bake (PAB) after spin coating was enough to rearrange the system into its equilibrium state. One snapshot of the prepared system in its relaxed state is shown in Figure 2a, where the red beads represent protected sites (functional groups) and the yellow beads indicate other monomers of the copolymer backbone.
During the exposure step, the protected sites in the exposed region were converted into deprotected functional groups by a photochemical reaction. Photon shot noise, secondary electron blur, and acid diffusion are often cited as the main reasons for the unpredictable distribution of the deprotected sites in the system. In this study, we excluded these effects and attempted to isolate the effects of polymer chain conformation on LER. Thus, PAG and quenchers were not explicitly modeled in the simulation. Instead, deprotection reactions occurring at the PEB step were modeled with the probability provided from the intensity profile of the aerial image generated using commercial software (Sentaurus Lithography from Synopsys, 2018.06-SP1). When an ideal aerial image was used, assuming a perfectly controlled photochemical reaction, all beads representing the protected sites (red) in half of the simulation domain were changed into deprotected sites (in blue), as shown in Figure 2b.
Temperature is one of the crucial parameters in simulating the PEB process since the mobility of polymer chains strongly depends on the difference between the glass transition temperature (Tg) of the resist material and the designated bake temperature. If the resist is a high Tg material, the polymer chains freeze during bake. In contrast, if the resist has a lower Tg compared to the PEB temperature, its chains have enough mobility to reach thermodynamically favored states, as shown in Figure 2c. Since deprotected sites are generally strongly hydrophilic, whereas protected groups are hydrophobic, a strong repulsion exists between the protected and deprotected sites. This rearranges chain conformations, thus altering the interface widths between the exposed and unexposed areas.
To predict the interface broadness and the amount of fluctuation, we used a particle-based Monte Carlo (MC) simulation based on a theoretically informed coarse-grained (TICG) model. TICG models have been successfully used to investigate the thermodynamic and structural properties of polymeric melt systems, especially in the directed self-assembly of block copolymer systems [28,29]. In TICG modeling, while the polymer chain is represented by a discretized Gaussian chain, the interaction energy between the particles is represented as a function of the density fields [30,31,32,33]. Non-bonded energy is given by the following:
H n b k B T = V d r N ¯ R e 3 { χ N ϕ A ϕ B + κ N 2 ( 1 ϕ A ϕ B ϕ C ) 2 } ,
where ϕ K = ρ K / ρ 0 represents the normalized local density of type K particles, ρ 0 is the average density, and N ¯ = ρ 0 R e 3 / N is the average number density of chains of N = 128 in the unit volume of R e 3 . Particles A, B, and C represent the coarse-grained polymer beads containing hydrophobic protected functional groups, the hydrophilic deprotected site, and other monomers of the copolymer backbone, respectively. The non-bonded Hamiltonian, H n b , is represented by two physical parameters, χ N and κ N , where χ N is the degree of repulsion between the protected site and the deprotected site, χ is the Flory–Huggins parameter, N is the number of segments per chain, and κ is the inverse of the compressibility parameter. Here, we only considered χ N ϕ A ϕ B , assuming that the backbone and functional groups had non-preferential interaction, regardless of whether the functional groups were hydrophilic or hydrophobic. We considered this assumption valid because the interaction between protected and deprotected groups was dominant over others. The term proportional to κ N is the penalty for the total density deviation from its average melt value, where the finite compressibility of the melt was taken into account [31,32]. In our calculations, we used the values κ N = 200 and N ¯ = 128 for N = 128. We performed MC simulations with single-bead displacement moves only and assumed that the width and fluctuation between the exposed and unexposed area at the interface would be correlated with the roughness.
In the development process, chains containing sufficient numbers of deprotected sites were solvated by developers due to the high solubility of deprotected sites in the developing solvents. At the starting point of the development process, chains that were deprotected above a critical fraction (deprotection fraction = 0.8) were removed and replaced with developing solvent molecules, marked in green in Figure 2d. Attractive interaction between the developer and the deprotected site allowed solvent molecules to diffuse into the photoresist matrix and interact with the chains containing hydrophilic deprotected groups, leading to their solvation (Figure 2e). The non-bonded energy was, thus, updated to include the addition of a developer solvent as follows:
H n b k B T = V d r N ¯ R e 3 { χ A B N ϕ A ϕ B + χ A D N ϕ A ϕ D + χ B D N ϕ B ϕ D + χ C D N ϕ C ϕ D + κ N 2 ( 1 ϕ A ϕ B ϕ C ϕ D ) 2 } ,
where ϕ D and χ K D represent the normalized density of the developer and Flory–Huggins parameter between each bead (K = A, B, and C) and developer (D), respectively. Here, we assumed a strong repulsive interaction between the protected site and the developer ( χ A D = 1.17 ), whereas the deprotected site and developer had strong attractive interactions ( χ B D = 1.17 ) and the interaction between the backbone and developer was ignored. To describe the chain rearrangement due to solvation, simulation with single-bead displacement only was conducted. Chains satisfying the conditions for solvation were replaced with developers, as shown in Figure 2d. To determine the chains to be replaced with solvents, both the averaged solvent density and minimum local solvent density over the grids occupied by each chain were tested. A spatially averaged solvent density above 0.5 and a minimum local solvent density above 0.2 were used as critical values for the replacement. The developing solvents enhanced chain mobility during the development process. The mobility strongly depended on solvent concentration, which was spatially and timely non-uniform as the developers penetrated into the polymer resist. The acceptance probability of the suggested MC moves was chosen as a function of the composition of the solvent as follows:
P a c c = 1 ( 1 + ϕ 0 8 ) 4 ( 1 + ϕ n 8 ) 4 min [ 1 , e x p [ Δ H k B T ] ] ,
where ΔH is the difference in total energy between the initial and trial configurations and the weight of the polymer is represented with initial and trial polymer compositions, ϕ 0 and ϕ n [34]. After most of the chains in the exposed area were removed by the developer, partially deprotected chains were left, swinging loosely at the interface, as shown in Figure 2e. To simulate the drying process, solvents were removed by replacing solvent beads with air beads. The segregation strength between the residual polymers and those beads was increased to χ = 1.56. Figure 2f shows a snapshot image of the chain conformation at the start of drying of the developing solvents. During the relaxation induced by changing the solvent particles to air, the residual polymers started to aggregate and collapsed, as shown in Figure 2g. These residual polymers contributed to the roughness at the interface. Finally, the LER was defined as 3σ deviation in the line pattern edge. Simulation parameters are summarized in Table 1.

3. Results and Discussion

3.1. Exposure and Deprotection Reaction

Changes in the polymer’s properties and its conformations after each patterning process were investigated using the coarse-grained model. Random copolymers constituted the backbone component and the protected functional sites were initially prepared in their equilibrium state. During the subsequent exposure step, other possible sources of LER were excluded by implementing an ideal image profile (i.e., a digital aerial image having infinite contrast) and assuming a perfectly controlled deprotection reaction (Figure 3a). After the exposure process, all protected functional sites located in the exposed domain (left half side of the simulation box shown in Figure 3a) were deprotected. Figure 3b shows a snapshot of the polymer at the interface when N = 64 and fp = 0.5. The yellow, red, and blue beads represent backbone, protected sites, and deprotected sites, respectively. If the chain was located completely within an exposed or unexposed area, the functional sites in the chain were composed of only one type of beads, either protected or deprotected beads. However, at the interfacial region, some chains were partially deprotected, meaning that some beads were changed to deprotected sites, whereas others remained as protected sites. Such chains could have various conformations, as shown in Figure 3b.
After ideal exposure and a well-controlled deprotection reaction, we counted the number of chains in which 40% to 60% of functional sites in the chain are deprotected and reported for different initial polymer chain length (Figure 3c). Although the difference in the concentration of functional sites (protected site and deprotected site) was sharp, a significant number of chains contained both protected and deprotected functional groups. As shown in Figure 3c, the fraction of partially deprotected chains increased as the chain length N increased. The partially deprotected chains were located mainly across the interface between the exposed and unexposed areas with a characteristic length of Re. Although no stochastic effect from exposure or acid diffusion existed, changes in the spatial distribution and conformation of the partially deprotected chains during PEB and the development process induced a non-negligible line-edge roughness. This is discussed further in the following sections.

3.2. Chain Conformation Changes during the PEB Process

When the glass transition temperature (Tg) of resist film is lower than the PEB temperature, polymer chains have enough mobility to rearrange into thermodynamically favored states during the PEB process (Figure 2c). Note that the actual Tg of a photoresist film is different compared to a bulk polymer film. The additives in the photoresist film act as a plasticizer and reduce the Tg of the film drastically. Additionally, the thin film geometry generally leads to a decrease of the Tg [35,36], except for a few reported cases in which a strong interaction of resist–substrate led to an increase of Tg [37]. We investigated how chains in the resist with low Tg redistributed during the PEB process due to large repulsive forces between the hydrophilic deprotected and hydrophobic protected sites. We also examined the interfacial width and its fluctuations. Interfacial widths show how broadly functional sites are distributed after the PEB process. Fluctuations describe variability in the position of the center of interfaces between protected and deprotected sites. In contrast, for the resist of high Tg, chain rearrangement is not conducted, and only deprotection reaction is considered during PEB due to the limited motion of polymer chains. The rearrangement of polymer in low Tg resist during the PEB process affects the final roughness at the edge of the pattern which is further discussed in Section 3.3.
It is well known that the interface between the immiscible polymer blends has a finite width, which scales proportionally to the Flory–Huggins parameter between two polymers χ with an exponent of −0.5 ( width   1 / 6 χ   ) [38,39]. EUV CAR can be modeled with a random copolymer composed of backbone materials with and without functional groups. It is expected to have a broader width between the majority of the protected and unprotected domains than a blend of immiscible homopolymers. To understand how the chains were redistributed during the PEB process, we measured the interfacial width and fluctuation of the interface center position at equilibrium state as a function of χ between the different functional groups with fixed N = 128 and fp = 0.5, as shown in Figure 4a. Even with an aerial image with infinite contrast (e.g., diffraction-free), we observed that this interface had a finite width and fluctuated. The segregation strength between the protected and deprotected sites ( χ ) significantly affected the interfacial width and magnitude of the interface position fluctuation. When a material with higher χ was considered, the interfacial width and amount of fluctuation were smaller than photoresist with a lower χ , although they were still much larger than those of a corresponding homopolymer blend with the same χ .
Further investigations were conducted at fixed χ = 0.78 ( χ N   = 100 for N = 128), thus assuming a strong repulsion between hydrophilic deprotected functional sites and hydrophobic protected sites. Figure 4b,c shows variations in the interfacial widths and fluctuations of the interface position as a function of fp for different Ns. All samples, regardless of N, presented qualitatively similar interface behaviors as the ratio of the functional sites in the chain (fp) was increased. The width and magnitude of the position fluctuation of the interface decreased due to enhanced demixing of the two different functional groups at the interface. Note that larger width and fluctuation after PEB did not necessarily result in large final LER, since the chain conformation still changed during the development process as further discussed in Section 3.3. Figure 4d replots the data of fp = 0.5 from Figure 4b,c to show the dependency of interfacial width and position fluctuation as a function of the chain length of N. The system of shorter chains resulted in a broader interface and considerably more fluctuation at the interface. One can naively predict that a photoresist of lower molecular weight polymers is better for reducing the roughness because each single chain occupies a smaller space. Thus, the roughness formed by the empty space after chain removal is also small. However, shorter chains have enhanced mixing entropy and chain mobility. Thus, the interface between the exposed and unexposed domains becomes wider, increasing the final LER. When N is higher than a certain value (N = 64 in our simulation model), the probability of having partially deprotected chains at the interface increases and the average length of repeated deprotected functional sites along the chain contour, uninterrupted by protected groups, becomes much shorter. This connectivity of protected and deprotected groups enhances the miscibility between them. Hence, there is an optimal chain length that minimizes the uncertainty on the interface position.
Detailed chain conformations at the interface need to be studied to characterize the source of the roughness from a molecular point of view. When chains are aligned in a direction parallel to the interface, rather than perpendicular, less roughness is expected. We analyzed the chain alignment near the interface (16 ± 1 nm) by measuring the averaged end-to-end distance (Re) from directions parallel ( ) and perpendicular ( ) to the interface. Figure 5 shows the average ratio of R to R , as a function of N. Up to N = 64, the elongation of perpendicular distribution was significantly decreased. However, further increases in N resulted in more spherical conformations, rather than elongated ones. The overall plot matched well with interfacial width and fluctuation (Figure 4d), indicating that chain conformation was correlated to the roughness.

3.3. LER Formation after Development

The final roughness at the pattern edges after development was calculated from the molecular-based simulations described in the Model and Methods section. During the development process, developing solvent enhances the polymer chain’s mobility due to plasticizing effects and interacts strongly with hydrophilic deprotected sites along the chains. Thus, polymer chains rearrange to be surrounded by a shell of solvent molecules, and chains that are completely solvated can be easily dissolved. Partially deprotected chains near the interface between the exposed and unexposed domain can be incompletely surrounded by developing solvent molecules and remained undissolved. These partially swollen chains collapsed to the interface during the removal of solvent (drying), generating the final LER. We tracked how chain conformation varied throughout the development process and measured the final LER. Even polymer chains having a high Tg whose conformation remained unchanged during PEB would have an enhanced mobility during the development process, allowing conformational changes. We compared the final LERs after development for EUV resists with high Tg and of low Tg (where polymer chains could rearrange during PEB).
Figure 6a shows the predicted LER as a function of fp while N was fixed at 64. The red curve represents the LER of a low Tg resist, whereas the blue corresponds to a high Tg resist. There was no significant difference in LER between the high Tg and low Tg systems, except for when fp = 0.3. When fp was larger than 0.3 and N = 64, the interfacial width for the system of low Tg after PEB was predicted to be less than 3 nm. This value decreased when fp increased (Figure 4b,c). The final roughness was about 3 nm, which was larger than the magnitude of the interfacial width and fluctuation after PEB. This implies that the development process plays a critical role in LER formation, potentially more than the PEB process. When the system parameters were fp = 0.3 and low Tg, a broader interfacial width was observed (≈5 nm) after PEB due to the small extent of demixing between the two functional groups (Figure 4b), resulting in a larger final LER after development. In other words, in a high Tg system with a sharp density profile of functional groups (due to the absence of chain rearrangement during the PEB step), a lower LER was observed compared to that of a low Tg system.
Figure 6b summarizes the predicted LERs as a function of N for fixed fp = 0.5. The results showed that N had a significant impact on LER. This indicates that molecular weight was more strongly correlated with LER than fp. When N was large, LER was independent of Tg, which suggests that LER is mainly determined during the development process. The longer the chain length, the larger the space occupied by the residual chains collapsing to the interface after drying. The sizes of these chains can directly impact the magnitude of the LER. However, when N is small (N < 64), the final LER varies depending on Tg, suggesting that chain rearrangements according to thermodynamic factors during PEB have more impacts on LER than the size of the polymer. When the Tg of the resist is much lower than the PEB temperature, the deprotected chains are broadly distributed over the interface. Some of these can diffuse into the unexposed area due to entropy and enhanced mobility of the short chains during the PEB step. Hence, deprotected chains cannot be removed completely at the interface during the development step, not because of the partially deprotected chains, but due to the non-deterministic distribution of the deprotected chains. This results in increased LER, even though the space occupied by each chain was small. In contrast, high Tg CAR showed lower LER because the chains were frozen during the PEB process. These results also suggest that the use of polymer resin exhibiting high enough Tg at low molecular weight regime could improve the pattern quality. Although we remind the reader that the ideal acid diffusion during the PEB was assumed in our modeling, acid diffusion would be decelerated in a high Tg resist. Figure 6c,c shows 3D images of the residual polymer chains after the development process in a system of low Tg EUV resist with various values for fp and N. Our simulation confirmed that the polymer chain conformations significantly affected the formation of the final roughness, and even though all other contributors (e.g., stochastic terms) were excluded, a certain amount of the final roughness was inevitably induced by the polymer chain conformation.

3.4. Effect of the Aerial Image on Polymer Chain Conformation

In the previous sections, we demonstrated that polymer chain conformations significantly correlated to LER formation in EUV CAR. Even when an ideal aerial image profile was implemented, excluding other possible sources of LER such as photon shot noise, acid diffusion, and inhomogeneous resist material distribution, LER was predicted to be larger than 2 or 3 nm, and dependent on χ N , fp, and N. In this section, we considered an aerial image profile with a realistic contrast of 16 nm and 1:1 line and space patterning, as shown in Figure 7a (obtained using Sentaurus Lithography). It is equivalent to a strong dipole illumination with a system of NA = 0.33, giving a normalized image log slope (NILS) of 2.8. After exposing the resist to this aerial image, we monitored the proportion of partially deprotected chains (40% to 60% of the hydrophobic functional groups in a chain were converted into hydrophilic groups), as shown in Figure 7b. This aerial image should be compared to the ideal aerial image in Figure 3c. When a diffracted aerial image was imposed, the deprotection reaction occurred over a 3-fold broader region than the ideal aerial image case. It resulted in larger numbers of chains that were partially deprotected.
After exposing the resist to the ideal and diffracted aerial images, the distribution of the protected sites over the resist was compared, as shown in Figure 8a. In the case of the ideal aerial image, the extent of interface mixing of the functional groups between the exposed area and unexposed areas was small, reflecting the infinite contrast of the aerial image. On the other hand, the extent of mixing at the interface was slightly larger when the contrast of the aerial image was about 90%. Obviously, in the latter case, the interfacial width measured after PEB step was broader because of the wider distribution of deprotected sites. The effect of N on LER formation for high Tg CAR exposed to a defocused aerial image is shown in Figure 8b. LER formation, as a function of N, behaved in a quantitively similar manner in the ideal aerial image (Figure 6b) and realistic aerial image. However, the LER values were significantly higher when the resist was exposed to the non-ideal aerial image; when N was higher than 64, bridges were formed and specific LER values were thus not assigned in Figure 8b. The deprotection reaction sites in the interface region were more broadly distributed when the non-ideal aerial image was implemented.

4. Conclusions

A theoretically informed coarse-grained model was adapted to study the LER of EUV resist from a molecular aspect. The coarse-grained model allowed for the investigation of molecular phenomena that take place during the 16 nm patterning process. Here, we examined the changes in distribution and conformations of the polymer chains at the interface between the exposed and unexposed areas during the PEB and development processes. Even though we used an ideal aerial image and assumed a perfectly controlled deprotection reaction, excluding other feasible sources of LER, the size of the simulated final pattern roughness was 1.8 nm to 6.3 nm, which is comparable to reported experimental values [40,41]. Our simulation indicates that the polymer chain conformation, which was not considered in previous works, is a non-negligible source of LER and emphasizes the importance of modeling polymer chains explicitly for patterning processes.
The interfacial width and LER of the final patterns were measured by varying physical values of the resists, such as Flory–Huggins parameter (χ), degree of polymerization (N), and ratio of the protected sites in the chain (fp) and glass transition temperature (Tg). While LER was not strongly sensitive to the protected site ratio fp, our simulations showed that the difference in Tg and PEB temperature played an important role in LER. When the resist Tg was higher than PEB temperature, the chain length was a dominant factor for LER, and low molecular weight resist outperformed a high molecular weight system. However, when the PEB temperature was close to the Tg of the resist, chain rearrangement during the PEB process broadened the interface and increased the final LER. Chains with smaller numbers of beads more readily rearranged, hence there exists an optimal chain size for minimizing LER. The simulation results with non-ideal aerial images emphasized that the profile of the deprotection reaction was a crucial factor in LER. For a more elaborate theoretical model of the lithography process, our model should reflect both polymer chain conformation and stochastic terms (e.g., photon shot noise and acid diffusion) in the future. The suggested molecular simulations for EUV photoresist are expected to be more useful in designing a material and processes for EUV resists on the sub-1x nm patterning, where the critical dimension approaches the molecular size.

Author Contributions

Conceptualization, S.-M.H., H.-K.O., D.D.S., Y.V., and M.K.; methodology, S.-M.H., and J.P.; software, J.P.; investigation, J.P. and S.-G.L.; supervision, S.-M.H., H.-K.O., J.P., S.-G.L., and Y.V.; visualization, J.P. and S.-G.L.; writing—original draft preparation, S.-M.H., J.P., and S.-G.L.; writing—review and editing, H.-K.O., M.K., D.D.S., Y.V., and J.S.

Funding

This research was funded by the National Research Foundation of Korea (NRF), grant number NRF-2018R1D1A1A02044073, and by the Ministry of Trade, Industry & Energy (MOTIE) and Korea Semiconductor Research Consortium (KSRC), grant number Future Semiconductor Device Technology Development Program 10052714.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Levinson, H.J. Alternative Lithography Techniques. In Principle of Lithography; SPIE-The International Society for Optical Engineering: Washington, DC, USA, 2001; pp. 341–347. [Google Scholar]
  2. Bakshi, V. EUV Lithography: An Historical Perspective. In EUV Lithography, 2nd ed.; SPIE-The International Society for Optical Engineering: Washington, DC, USA, 2018; pp. 1–43. [Google Scholar]
  3. Samsung’s 7nm EUV Is Ready for Volume Production. Available online: https://wccftech.com/samsung-7nm-euv-volume-produce/ (accessed on 26 August 2019).
  4. Wurm, S.; Ronse, K. Closing Address. In Proceedings of the International Symposium on Extreme Ultraviolet Lithography, Miami, FL, USA, 17–19 October 2011. [Google Scholar]
  5. Wurm, S.; Naulleau, P. Closing Address. In Proceedings of the International Symposium on Extreme Ultraviolet Lithography, Washington, DC, USA, 27–29 October 2014. [Google Scholar]
  6. Ronse, K. Closing Address. In Proceedings of the International Symposium on Extreme Ultraviolet Lithography, Maastricht, The Netherlands, 4–7 October 2015. [Google Scholar]
  7. Itani, T.; Gargini, P.; Ronse, K.; Naulleau, P. Closing Address. In Proceedings of the International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, 24–26 October 2016. [Google Scholar]
  8. Itani, T.; Gargini, P.; Ronse, K.; Naulleau, P. Closing Address. In Proceedings of the Conference on Photomask Technology and Extreme Ultraviolet Lithography, Monterey, CA, USA, 11–14 September 2017. [Google Scholar]
  9. Van Es, R.; van de Kerkhof, M.; Minnaert, A.; Fisser, G.; de Klerk, J.; Smits, J.; Moors, R.; Verhoeven, E.; Levasier, L.; Peeters, R.; et al. EUV for HVM: Towards an industrialized scanner for HVM NXE3400B performance update. Proc. SPIE 2018, 10583, 1–12. [Google Scholar]
  10. Mack, C.A. Chemically Amplified Resist: Exposure and Bake Chemistry. In Fundamental Principles of Optical Lithography: The Science of Microfabrication; John Wiley and Sons Ltd.: Chichester, UK, 2011; pp. 223–254. [Google Scholar]
  11. Saeki, A.; Kozawa, T.; Tagawa, S. Monte carlo simulation of chemical intermediates in CARs. In Proceedings of the International Workshop on Extreme Ultraviolet Lithography, Oahu, HI, USA, 13–17 July 2009. [Google Scholar]
  12. Hishiro, Y. Novel EUV resist development for sub-14 nm half pitch. In Proceedings of the International Workshop on Extreme Ultraviolet Lithography, Maui, HI, USA, 15–19 June 2015. [Google Scholar]
  13. Goldfarb, D.L.; Ardakani, A.A.; Glodde, M. Acid generation efficiency: EUV photons versus photoelectrons. Proc. SPIE 2016, 9779, 1–13. [Google Scholar]
  14. Kozawa, T.; Tagawa, S. Radiation Chemistry in Chemically Amplified Resists. Jpn. J. Appl. Phys. 2010, 49, 1–19. [Google Scholar] [CrossRef]
  15. Okoroanyanwu, U. Positive Resists. In Chemistry and Lithography; SPIE-The International Society for Optical Engineering: Washington, DC, USA, 2010; pp. 285–393. [Google Scholar]
  16. De Simone, D.; Vesters, Y.; Vandenberghe, G. Photoresists in extreme ultraviolet lithography (EUVL). Adv. Opt. Technol. 2017, 6, 163–172. [Google Scholar] [CrossRef]
  17. Narasimhan, A.; Grzeskowiak, S.; Ostrander, J.; Schad, J.; Rebeyev, E.; Neisser, M.; Ocola, L.E.; Denbeaux, G.; Brainard, R.L. Studying electron-PAG interactions using electron-induced fluorescence. Proc. SPIE 2016, 9779, 1–16. [Google Scholar]
  18. Grzeskowiak, S.; Kaminsky, J.; Gibbons, S.; Narasimhan, A.; Brainard, R.L.; Denbeaux, G. Electron trapping: A mechanism for acid production in extreme ultraviolet photoresists. J. Micro Nanolith. MEMS MOEMS 2018, 17, 033501. [Google Scholar] [CrossRef]
  19. Kozawa, T.; Tagawa, S.; Cao, H.B.; Deng, H.; Leeson, M.J. Acid distribution in chemically amplified extreme ultraviolet resist. J. Vac. Sci. Technol. B 2007, 25, 2481–2485. [Google Scholar] [CrossRef]
  20. Kozawa, T.; Tagawa, S. Effect of Acid Generator Decomposition during Exposure on Acid Image Quality of Chemically Amplified Extreme Ultraviolet Resists. Jpn. J. Appl. Phys. 2011, 50, 1–16. [Google Scholar] [CrossRef]
  21. Itani, T.; Kozawa, T. Resist Materials and Processes for Extreme Ultraviolet Lithography. Jpn. J. Appl. Phys. 2013, 52, 1–14. [Google Scholar] [CrossRef]
  22. Kozawa, T. Theoretical Study on Acid Diffusion Length in Chemically Amplified Resists Used for Extreme Ultraviolet Lithography. Jpn. J. Appl. Phys. 2013, 52, 1–5. [Google Scholar] [CrossRef]
  23. Patsis, G.P.; Constantoudis, V.; Gogolides, E. Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations. Microelectron. Eng. 2004, 75, 297–308. [Google Scholar] [CrossRef]
  24. Patsis, G.P.; Drygiannakis, D.; Constantoudis, V.; Raptis, I.; Gogolides, E. Stochastic modeling and simulation of photoresist surface and line-edge roughness evolution. Eur. Polym. J. 2010, 46, 1988–1999. [Google Scholar] [CrossRef]
  25. Kim, M.; Moon, J.; Choi, J.; Lee, B.; Jeong, C.; Kim, H.; Cho, M. Theoretical modeling of PEB procedure on EUV resist using FDM formulation. Proc. SPIE 2018, 10583, 1–6. [Google Scholar]
  26. Kim, M.; Moon, J.; Choi, J.; Park, S.; Lee, B.; Cho, M. Multiscale Simulation Approach on Sub-10 nm Extreme Ultraviolet Photoresist Patterning: Insights from Nanoscale Heterogeneity of Polymer. Macromolecules 2018, 51, 6922–6935. [Google Scholar] [CrossRef]
  27. Lee, H.; Kim, M.; Moon, J.; Park, S.; Lee, B.; Jeong, C.; Cho, M. Multiscale approach for modeling EUV patterning of chemically amplified resist. Proc. SPIE 2019, 10960, 1–6. [Google Scholar]
  28. Hur, S.-M.; Thapar, V.; Ramírez-Hernández, A.; Khaira, G.; Segal-Peretz, T.; Rincon-Delgadillo, P.A.; Li, W.; Müller, M.; Nealey, P.F.; de Pablo, J.J. Molecular pathways for defect annihilation in directed self-assembly. Proc. Natl. Acad. Sci. USA 2015, 112, 14144–14149. [Google Scholar] [CrossRef]
  29. Nagpal, U.; Müller, M.; Nealey, P.F.; de Pablo, J.J. Free Energy of Defects in Ordered Assemblies of Block Copolymer Domains. ACS Macro Lett. 2012, 1, 418–422. [Google Scholar] [CrossRef]
  30. Detcheverry, F.A.; Pike, D.Q.; Nagpal, U.; Nealey, P.F.; de Pablo, J.J. Theoretically informed coarse grain simulations of block copolymer melts: Method and applications. Soft Matter 2009, 5, 4858. [Google Scholar] [CrossRef]
  31. Pike, D.Q.; Detcheverry, F.A.; Müller, M.; de Pablo, J.J. Theoretically informed coarse grain simulations of polymeric systems. J. Chem. Phys. 2009, 131, 084903. [Google Scholar] [CrossRef]
  32. Detcheverry, F.A.; Pike, D.Q.; Nealey, P.F.; Müller, M.; de Pablo, J.J. Simulations of theoretically informed coarse grain models of polymeric systems. Faraday Discuss. 2010, 144, 111–125. [Google Scholar] [CrossRef]
  33. Ramírez-Hernández, A.; Müller, M.; de Pablo, J.J. Theoretically informed entangled polymer simulations: Linear and non-linear rheology of melts. Soft Matter 2013, 9, 2030. [Google Scholar] [CrossRef]
  34. Hur, S.-M.; Khaira, G.S.; Ramírez-Hernández, A.; Müller, M.; Nealey, P.F.; de Pablo, J.J. Simulation of Defect Reduction in Block Copolymer Thin Films by Solvent Annealing. ACS Macro Lett. 2015, 4, 11–15. [Google Scholar] [CrossRef]
  35. Peter, S.; Meyer, H.; Baschnagel, J. Thickness-dependent reduction of the glass-transition temperature in thin polymer films with a free surface. J. Polym. Sci. B Polym. Phys. 2006, 44, 2951–2967. [Google Scholar] [CrossRef]
  36. Bäumchen, O.; McGraw, J.D.; Forrest, J.A.; Dalnoki-Veress, K. Reduced Glass Transition Temperatures in Thin Polymer Films: Surface Effect or Artifact? Phys. Rev. Lett. 2012, 109, 055701. [Google Scholar] [CrossRef]
  37. Kim, J.H.; Jang, J.; Zin, W.-C. Thickness Dependence of the Glass Transition Temperature in Thin Polymer Films. Langmuir 2001, 17, 2703–2710. [Google Scholar] [CrossRef]
  38. Broseta, D.; Fredrickson, G.H.; Helfand, E.; Leibler, L. Molecular weight and polydispersity effects at polymer-polymer interfaces. Macromolecules 1990, 23, 132–139. [Google Scholar] [CrossRef]
  39. Sferrazza, M.; Xiao, C.; Bucknall, D.G.; Jones, R.A.L. Interface width of low-molecular-weight immiscible polymers. J. Phys. Condens. Matter 2001, 13, 10269–10277. [Google Scholar] [CrossRef]
  40. Fujii, T.; Matsumaru, S.; Yamada, T.; Komuro, Y.; Kawana, D.; Ohmori, K. Patterning performance of chemically amplified resist in EUV lithography. Proc. SPIE 2016, 9776, 1–6. [Google Scholar]
  41. Vesters, Y.; Jiang, J.; Yamamoto, H.; De Simone, D.; Kozawa, T.; De Gendt, S.; Vandenberghe, G. Sensitizers in EUV chemically amplified resist: Mechanism of sensitivity improvement. Proc. SPIE 2018, 10583, 1–11. [Google Scholar]
Figure 1. Schematic illustration of the extreme ultraviolet (EUV) lithography process. (a) Conventional model of EUV lithography process. (b) Our model using coarse-grained molecular simulation. PAB and PEB mean post-applied bake and post-exposure bake, respectively.
Figure 1. Schematic illustration of the extreme ultraviolet (EUV) lithography process. (a) Conventional model of EUV lithography process. (b) Our model using coarse-grained molecular simulation. PAB and PEB mean post-applied bake and post-exposure bake, respectively.
Polymers 11 01923 g001
Figure 2. Top view images of the EUV chemically amplified resist (CAR) patterning process. Low Tg, N = 64 and fp = 0.5, were simulated in this system; (a) spin coating, (b) exposure, (c) post-exposure bake (PEB), (d) beginning of the development, (e) during development, (f) immediately after the development, and (g) after drying the remaining developer. Images were generated by wrapping the simulation results in the x-direction, corresponding to the system size of 48 × 32 × 30 nm.
Figure 2. Top view images of the EUV chemically amplified resist (CAR) patterning process. Low Tg, N = 64 and fp = 0.5, were simulated in this system; (a) spin coating, (b) exposure, (c) post-exposure bake (PEB), (d) beginning of the development, (e) during development, (f) immediately after the development, and (g) after drying the remaining developer. Images were generated by wrapping the simulation results in the x-direction, corresponding to the system size of 48 × 32 × 30 nm.
Polymers 11 01923 g002
Figure 3. (a) Aerial image profile used for an infinite contrast. (b) Snapshot of selected chains near the interface; I and II represent the entirely protected or deprotected chains and partially deprotected chains, respectively. (c) Fraction of partially deprotected chains in which 40–60% of functional sites are deprotected as a function of the number of beads N.
Figure 3. (a) Aerial image profile used for an infinite contrast. (b) Snapshot of selected chains near the interface; I and II represent the entirely protected or deprotected chains and partially deprotected chains, respectively. (c) Fraction of partially deprotected chains in which 40–60% of functional sites are deprotected as a function of the number of beads N.
Polymers 11 01923 g003
Figure 4. Interfacial width and fluctuation of the interface positions after the PEB process; (a) interfacial width and fluctuation as a function of the repulsion degree χ N at fixed N = 128 and fp = 0.5, (b) interfacial width as a function of the protected site ratio of four different Ns at fixed χ = 0.78, (c) fluctuation as a function of protected site ratio fp of four different Ns at fixed χ = 0.78, and (d) interfacial width and fluctuation as a function of N at fixed fp = 0.5 and χ = 0.78.
Figure 4. Interfacial width and fluctuation of the interface positions after the PEB process; (a) interfacial width and fluctuation as a function of the repulsion degree χ N at fixed N = 128 and fp = 0.5, (b) interfacial width as a function of the protected site ratio of four different Ns at fixed χ = 0.78, (c) fluctuation as a function of protected site ratio fp of four different Ns at fixed χ = 0.78, and (d) interfacial width and fluctuation as a function of N at fixed fp = 0.5 and χ = 0.78.
Polymers 11 01923 g004
Figure 5. Average ratio of the R to the R in the interface region after PEB as a function of the number of beads N.
Figure 5. Average ratio of the R to the R in the interface region after PEB as a function of the number of beads N.
Polymers 11 01923 g005
Figure 6. Line-edge roughness (LER) values after the entire CAR patterning process in low Tg and high Tg; (a) LER as a function of the protected site ratio fp at fixed N = 64, (b) LER as a function of the number of beads N at fixed fp = 0.5, (c) 3D image of residual polymer from (a) for low Tg resist, and (d) 3D image of residual polymer from (b) for low Tg resist. In (c) and (d), red color map and gray contour curve are used to present the variation in the local density of residual resists on cross-cuts and the shapes of line edges, respectively.
Figure 6. Line-edge roughness (LER) values after the entire CAR patterning process in low Tg and high Tg; (a) LER as a function of the protected site ratio fp at fixed N = 64, (b) LER as a function of the number of beads N at fixed fp = 0.5, (c) 3D image of residual polymer from (a) for low Tg resist, and (d) 3D image of residual polymer from (b) for low Tg resist. In (c) and (d), red color map and gray contour curve are used to present the variation in the local density of residual resists on cross-cuts and the shapes of line edges, respectively.
Polymers 11 01923 g006
Figure 7. (a) Image profile implemented for 16 nm dense line and space (LS), (b) fraction of chains which are partially deprotected (40–60% sites deprotected per chain) as a function of the number of beads N.
Figure 7. (a) Image profile implemented for 16 nm dense line and space (LS), (b) fraction of chains which are partially deprotected (40–60% sites deprotected per chain) as a function of the number of beads N.
Polymers 11 01923 g007
Figure 8. (a) Density profile of protected site. The inset shows a snapshot of simulations after exposure step. Left side and right side of inset represent ideal aerial image case and realistic aerial image case, respectively. (b) LER values of CAR for high Tg as a function of N where 90% contrast image exists with fixed fp = 0.5.
Figure 8. (a) Density profile of protected site. The inset shows a snapshot of simulations after exposure step. Left side and right side of inset represent ideal aerial image case and realistic aerial image case, respectively. (b) LER values of CAR for high Tg as a function of N where 90% contrast image exists with fixed fp = 0.5.
Polymers 11 01923 g008
Table 1. Summarized simulation parameters for the system of N = 128. A, B, C, D, and E represent protected site, deprotected site, backbone, developing solvent, and air, respectively.
Table 1. Summarized simulation parameters for the system of N = 128. A, B, C, D, and E represent protected site, deprotected site, backbone, developing solvent, and air, respectively.
ParametersValue
χ A B N 100
χ A D N 150
χ B D N −150
χ A E N = χ B E N = χ C E N 200
κ N 200
N ¯ 128

Share and Cite

MDPI and ACS Style

Park, J.; Lee, S.-G.; Vesters, Y.; Severi, J.; Kim, M.; De Simone, D.; Oh, H.-K.; Hur, S.-M. Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation. Polymers 2019, 11, 1923. https://doi.org/10.3390/polym11121923

AMA Style

Park J, Lee S-G, Vesters Y, Severi J, Kim M, De Simone D, Oh H-K, Hur S-M. Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation. Polymers. 2019; 11(12):1923. https://doi.org/10.3390/polym11121923

Chicago/Turabian Style

Park, Juhae, Sung-Gyu Lee, Yannick Vesters, Joren Severi, Myungwoong Kim, Danilo De Simone, Hye-Keun Oh, and Su-Mi Hur. 2019. "Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation" Polymers 11, no. 12: 1923. https://doi.org/10.3390/polym11121923

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop