Next Article in Journal
A Novel Strategy for Comprehensive Estimation of Lattice Energy, Bulk Modulus, Chemical Hardness and Electronic Polarizability of ANB8-N Binary Inorganic Crystals
Previous Article in Journal
Investigation on β-Ga2O3-Based Schottky Barrier Diode with Floating Metal Rings
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Surface Passivation of Germanium with ALD Al2O3: Impact of Composition and Crystallinity of GeOx Interlayer

1
Department of Electronics and Nanoengineering, Aalto University, FI-02150 Espoo, Finland
2
Department of Physics and Astronomy, University of Turku, FI-20014 Turku, Finland
*
Author to whom correspondence should be addressed.
Crystals 2023, 13(4), 667; https://doi.org/10.3390/cryst13040667
Submission received: 15 March 2023 / Revised: 31 March 2023 / Accepted: 6 April 2023 / Published: 12 April 2023
(This article belongs to the Section Inorganic Crystalline Materials)

Abstract

:
Germanium is an excellent material candidate for various applications, such as field effect transistors and radiation detectors/multijunction solar cells, due to its high carrier mobilities and narrow bandgap, respectively. However, the efficient passivation of germanium surfaces remains challenging. Recently, the most promising results have been achieved with atomic-layer-deposited (ALD) Al2O3, but the obtainable surface recombination velocity (SRV) has been very sensitive to the surface state prior to deposition. Based on X-ray photoelectron spectroscopy (XPS) and low-energy electron diffraction (LEED), we show here that the poor SRV obtained with the combination of HF and DIW surface cleaning and ALD Al2O3 results from a Ge suboxide interlayer (GeOx, x < 2) with compromised quality. Nevertheless, our results also demonstrate that both the composition and crystallinity of this oxide layer can be improved with a combination of low-temperature heating and a 300-Langmuir controlled oxidation in an ultrahigh vacuum (LT-UHV treatment). This results in a reduction in the interface defect density (Dit), allowing us to reach SRV values as low as 10 cm/s. Being compatible with most device processes due to the low thermal budget, the LT-UHV treatment could be easily integrated into many future devices and applications.

1. Introduction

Germanium (Ge) is used in various semiconductor devices due to its attractive electronic properties, such as high carrier mobility and a relatively small bandgap [1,2]. The high carrier mobility is particularly beneficial for metal–oxide–semiconductor field effect transistors (MOSFET) in alleviating the drain current saturation problem [3,4,5], whereas the small bandgap enabling efficient absorption in a wide wavelength range (200–1800 nm) [1,6,7] is crucial for numerous optoelectronic devices, including near-infrared detectors and thermophotovoltaic and multijunction solar cells [8,9,10,11,12,13,14,15,16,17]. Despite the many beneficial properties offered by Ge, utilizing its full potential in devices has turned out to be challenging. This is primarily due to the unfavorable surface properties of Ge, namely the difficulties in being able to achieve efficient surface passivation [1,18,19]. Even though Ge oxides can form a high-quality interface (with Ge) [18,20,21], they are known to be highly unstable and water-soluble [1,18,19], which practically prevents their use as surface passivation layers in recombination-sensitive devices. As a result, many surface passivation studies have been carried out with various externally deposited thin films, such as aluminum oxide (Al2O3), zirconium dioxide (ZrO2), hafnium dioxide (HfO2), amorphous silicon (a-Si), amorphous silicon carbide (a-SiCx), and silicon nitride SiNx [1,18,22,23,24,25,26,27,28,29,30,31,32]. Similar to silicon (Si), one of the most promising candidates has turned out to be atomic-layer-deposited (ALD) Al2O3, which has recently been shown to significantly reduce the achievable surface recombination velocities (SRV) down to values as low as 2.7 cm/s [27,28,29].
The SRV of a Ge surface passivated with ALD Al2O3 has been shown to be very sensitive to the condition of the surface right before the deposition of the passivation layer [27]. Due to the extremely high etch rate of Ge in hydrogen peroxide solution [33,34], neither standard clean 1 nor standard clean 2 are applicable surface-cleaning treatments, narrowing the selection down to wet treatments such as HCl and HF dips. Surface cleaning performed with mere HCl without a following rinse in deionized water (DIW) (referred to as reference later on in the text) prior to the ALD of Al2O3 was seen to produce significantly better results than the combination of HF and DIW rinsing [27]. Although the latter treatment is speculated to result in a thin GeOx layer with low quality, determining the exact reason for the worse SRV needs further studies. In the case of Si, it is well known that a thin SiO2 layer at the Si surface prior to the ALD of Al2O3 is of crucial importance for achieving good surface passivation and a low SRV [35,36]. Furthermore, the combination of low-temperature heating and controlled oxidation in an ultrahigh vacuum (LT-UHV treatment) of this thin SiO2 layer prior to ALD has been shown to increase the negative fixed charge density (Qtot) and to decrease the defect density (Dit) at the interface, thus resulting in improved surface passivation via both increased field effect and chemical passivation [37,38]. The question is whether a similar approach could be used in the case of Ge to, e.g., increase the degree of crystallinity and/or alter the composition of the thin GeOx layer, thus possibly improving the resulting surface passivation as well.
In this work, we utilize surface science methods such as X-ray photoelectron spectroscopy (XPS) and low-energy electron diffraction (LEED) to study the surface of Ge in detail after the two different cleaning treatments: (i) mere HCl as a reference and (ii) the combination of HF and DIW rinsing. The results are compared to the degree of surface passivation, i.e., the SRV, Qtot, and Dit, obtained for both treatments after the ALD of Al2O3. The SRV is defined from minority carrier lifetimes measured by quasi-steady-state microwave photoconductance decay (QSS-µPCD), while Qtot and Dit are measured with the corona oxide characterization of semiconductors (COCOS) technique. Additionally, we apply an LT-UHV treatment for the HF-cleaned and DIW-rinsed samples, characterize its effect on both the sample surface prior to ALD and the resulting passivation quality (the SRV, the Qtot, and the Dit) after ALD, and compare the results to earlier data reported for Si. Finally, the obtained results are discussed from a device perspective.

2. Experimental Details

The process flow of the conducted experiments is depicted in Figure 1. A batch of 189 ± 4 μm thick, single-side polished, 4-inch, n-type {100} Czochralski-grown (CZ) Ge wafers with 18–37 Ωcm base resistivity was used in these experiments. The first process step was surface cleaning. The reference samples were treated with 31.6% v/v HCl for 60 s without any DIW rinsing, whereas other samples were treated with 1% v/v HF for 90 s, followed by DIW rinsing for another 90 s. A high HCl concentration was selected due to its reported ability to efficiently remove carbon and oxide layers while still resulting in a smooth Ge surface with monochloride and dichloride termination [19]. For HF, the selected concentration and duration of the treatment were a compromise between the inefficient removal of impurities (e.g., oxygen, carbon, and copper) and resulting surface roughness enhancement [19]. For one set of the HF + DIW-treated samples, an additional low-temperature heating and controlled oxidation in an ultrahigh vacuum (LT-UHV treatment) was carried out immediately after the surface cleaning. For the LT-UHV treatment, the sample set was loaded into a UHV chamber and placed on top of a heater element using a cradle. The chamber was pumped down to a vacuum level of 10−8 mbar. The wafers were heated at 350 °C for 30 min, which was followed by a 300-Langmuir (L) oxidation at the same temperature. These parameters were selected based on earlier studies on Si [37,38]. After the treatment, the wafers were cooled down to room temperature under UHV conditions. After unloading the sample set from the UHV chamber, it was rejoined with the other samples and the rest of the process was identical for all of them.
After surface cleaning (and optional LT-UHV treatment), the sample surfaces were characterized with XPS and LEED to obtain information on the oxidation state and crystallinity. Photoemission spectra were measured using a Thermo Scientific Nexsa XPS system with a monochromatized Al Kα radiation source and dual-mode charge compensation. High-resolution core-level spectra were collected with a 50 eV pass energy. The X-ray spot size was 200 µm. Next, a 23 nm thick Al2O3 layer was deposited on the samples through thermal ALD (Beneq TFS 500). The deposition was carried out at 200 °C with H2O and trimethylaluminum (TMA) precursors. The number of cycles was 200. After ALD, to activate the Al2O3 passivation, the samples were annealed at 400 °C in N2 for 30 min [27].
Finally, both the injection-dependent effective minority carrier lifetime (τeff) and the Ge-Al2O3 interfacial properties (namely, the thin-film charge (Qtot) and the interface defect density (Dit)) were measured using a Semilab PV2000A semiconductor characterization tool [39]. The τeff, which reflects the overall recombination activity of a sample, was measured with the quasi-steady-state microwave-detected photoconductance decay technique (QSS-µPCD) as an average over five points around the center of the sample [40]. The obtained τeff was used to extract the maximum SRV at an injection level of 7 × 1014 cm−3 by assuming negligible bulk recombination, which was likely a valid assumption since high-quality substrates were used. Ge-Al2O3 interfacial properties, namely Qtot and Dit, were assessed with the corona oxide characterization of semiconductors (COCOS) method [41].

3. Results and Discussion

To compare the Ge surface state after mere HCl (reference) and combined HF + DIW cleaning, Figure 2a presents the Ge 3d spectra measured with XPS from both samples. The Ge 3d spectra characterize the direct bonds between Ge and oxygen atoms. A difference between the samples is seen at binding energies in the range of 31.0–32.5 eV. The shoulder in the Ge 3d spectrum of the HF + DIW-cleaned sample indicates the presence of a larger amount of Ge suboxides (GeOx with x < 2, i.e., a Ge atom has fewer than four direct oxygen bonds). This is in good agreement with the current literature, which suggests that GeO2 is readily water-soluble, whereas GeOx (with x < 2 ) is much more resistant to chemicals. Even after an HF dip, small amounts of GeOx were found, although a DIW rinse carried out due to safety concerns is more likely to cause additional oxide formation [42]. Similarly to here, when comparing HBr and HF cleaning treatments prior to their PECVD a:Si:H Ge surface passivation, Berghuis et al. also reported some remains of GeOx on the Ge surface after HF cleaning [29].
To study the Ge surface oxygen content more closely, we also measured the oxygen O 1s XPS spectra for both of the samples. The obtained results are presented in Figure 2b). As expected, both samples similarly show the Ge Auger peak at a binding energy of 535 eV [43]. However, more interestingly, a clear oxygen peak at around 531 eV is seen only for the HF + DIW-cleaned sample and not for the reference. This is in agreement with the Ge 3d spectra presented in Figure 2a, and further proves the presence of an oxide layer at the surface of the HF + DIW-cleaned sample. The impact of this oxide layer on the surface crystallinity was qualitatively studied with the LEED patterns presented in Figure 2c). The reference sample provides sharper diffraction spots and, thus, a more crystalline surface than the HF + DIW-cleaned sample.
These findings complement the previous results, where the combination of HF and DIW rinsing prior to the ALD of Al2O3 was seen to lead to a worse SRV than mere HCl [27]. In contrast to the case of Si—where the combination of HF and DIW rinsing removes oxides efficiently and leaves the surface H-terminated, preventing subsequent oxidation [27]—in the case of Ge, there is clearly an oxide layer remaining on the surface after the treatment. While for Si, the presence of a thin chemical oxide layer has been shown to improve ALD Al2O3 passivation [35], for Ge, this seems detrimental. In the case of Ge, the presence of a considerable amount of poor quality GeOx (x < 2) at the Ge/Al2O3 interface could (i) reduce the amount of negative fixed charge obtainable by the Al2O3 passivation layer, decreasing the field effect, and/or (ii) increase the defect density (compared to better-quality GeO2), deteriorating the chemical passivation. The COCOS results presented (Figure 4) and discussed later on shed more light on this [18,44,45,46,47,48,49].
The XPS spectra and the LEED pattern for HF + DIW cleaned sample shown in Figure 2 demonstrated that there is a thin GeOx suboxide (x < 2) layer remaining on the sample after the cleaning. In the case of silicon with a similarly chemically grown thin SiOx layer at the wafer surface, the combination of low-temperature heating and controlled oxidation in an ultrahigh vacuum (LT-UHV treatment) before ALD Al2O3 surface passivation has been shown to improve both the oxide and the interface quality [37,38]. Here, we studied whether the quality of the thin GeOx layer, namely the composition and the crystallinity, could be similarly improved using LT-UHV treatment. Figure 3a,b show the effect of LT-UHV treatment on the Ge 3d and O 1s XPS spectra obtained for the HF + DIW-cleaned sample. The LT-UHV treatment was seen to slightly increase the overall oxygen content (the peak height at ~531 eV in O 1s spectra increased) and to shift the germanium oxide towards a higher oxidation state (the shoulder in the Ge 3d spectrum moved to 31.5–33.5 eV); in other words, GeOy after the LT-UHV treatment resembled GeO2 more than GeOx (before the LT-UHV treatment) [50]. Furthermore, the LEED pattern comparison presented in Figure 3c shows sharper diffraction spots after the LT-UHV treatment, which indicates an increased degree of crystallinity at the oxidized surface. This matches well with the case of Si, where some of the SiOx was converted to SiO2 followed by an increased degree of crystallinity [37,38]. It is worth noting that the LT-UHV treatment parameters used here were selected based on earlier studies on Si [37,38]. Keeping in mind the different oxidation kinetics for Ge, there could possibly be room for further improvement by optimizing the parameters specifically for Ge. Nevertheless, in terms of Ge surface passivation, both the oxide shift towards higher oxidation states and the increased degree of crystallinity pave the way for the reduced density of surface defects [18,45,46,47,48,49].
Finally, we studied the impact of increased Ge oxide quality and improved crystallinity obtained with the LT-UHV treatment for the HF + DIW-cleaned sample on ALD Al2O3 surface passivation. We characterized the surface passivation by measuring the effective minority carrier lifetime (τeff) and used that to determine the maximum surface recombination velocity. Figure 4a presents the injection-dependent τeff for the samples that experienced HCl cleaning (reference), HF + DIW cleaning, and HF + DIW cleaning followed by the LT-UHV treatment. Without the LT-UHV treatment, the HF + DIW sample has approximately a 200 μs lower lifetime across the whole injection level range when compared to the reference. This translates to a 42% higher maximum SRV at 7 × 1014 cm−3 injection for the HF + DIW-cleaned sample. Similarly, Berghuis et al. reported earlier that a more efficient GeOx removal is beneficial for Ge surface passivation with PECVD a:Si:H [29]. Most notably, the addition of LT-UHV treatment improved the lifetime to the same level as in the reference sample and, thus, reduce the SRV to below 10 cm/s. Looking more closely at the injection level dependency, the reference sample was seen to have a slightly higher lifetime in the low-injection region (1250 μs vs. 1200 μs at an injection of 2 × 1014 cm−3), whereas in the high-injection region, the LT-UHV-treated sample was seen to perform better (500 μs vs. 600 μs at an injection of 2 × 1015 cm−3). The slightly smaller injection-level dependency of the LT-UHV-treated sample indicates that it should have improved chemical passivation quality (i.e., lower Dit) compared to the reference.
To study the reasons behind the improved surface passivation in more detail, we performed COCOS measurements on all three different sample types to determine the Qtot and Dit. Figure 4b presents Qtot, the figure of merit for field effect passivation, and Figure 4c presents Dit, the figure of merit for chemical passivation. The reference sample showed the highest thin-film charge in this comparison (Qtot = −2.3 × 1012 cm−2) followed by the HF + DIW (Qtot = −1.9 × 1012 cm−2) and HF + DIW with LT-UHV treatment (Qtot = −1.5 × 1012 cm−2) samples, respectively. Interestingly, the charge of the HF + DIW-cleaned sample decreased after the LT-UHV treatment, which was the opposite effect to the case of Si, where the LT-UHV treatment was shown to increase the Qtot [37,38]. On the other hand, the Dit behaved in a completely opposite manner. The lowest Dit was achieved with the LT-UHV-treated sample (Dit = 2.3 × 1012 cm−2) followed by the HF + DIW-cleaned sample (Dit = 3.7 × 1012 cm−2), while the reference sample showed the worst value (Dit = 4 × 1012 cm−2). Thus the LT-UHV treatment clearly reduced the Dit, which is in line with the surface science results and also agrees well with the LT-UHV treatment results obtained for Si [37,38]. It is interesting that even though the overall passivation after the LT-UHV treatment matched the reference, the passivation mechanisms differ from each other. The passivation of the reference samples relied on a strong field effect, while in the case of the LT-UHV-treated sample, the passivation was more balanced with improved chemical passivation.
Figure 4. (a) Injection-dependent τeff of ALD-Al2O3-coated samples with different combinations of pretreatments (Reference (HCl), HF + DIW, and HF + DIW + LT-UHV treatment). All samples were post-deposition-annealed for 30 min at 400 °C in N2 ambient. The value labelled for each curve represents the corresponding SRV extracted at 7 × 1014 cm−3 injection level. Extracted (b) Qtot and (c) Dit values measured using COCOS.
Figure 4. (a) Injection-dependent τeff of ALD-Al2O3-coated samples with different combinations of pretreatments (Reference (HCl), HF + DIW, and HF + DIW + LT-UHV treatment). All samples were post-deposition-annealed for 30 min at 400 °C in N2 ambient. The value labelled for each curve represents the corresponding SRV extracted at 7 × 1014 cm−3 injection level. Extracted (b) Qtot and (c) Dit values measured using COCOS.
Crystals 13 00667 g004
Finally, it is important to consider what the obtained results mean from a Ge device perspective. Surface recombination is detrimental to the performance of many types of Ge devices and, hence, efficient passivation is a crucial part of the device-manufacturing process. For instance, in state-of-the-art photodiodes, surface recombination affects both the dark current and the sensitivity, which are important figures of merit [51]. Fortunately, the surface recombination characteristics achieved in this work are enough for high-performance devices [52]. Furthermore, the low thermal budget of the LT-UHV treatment makes it compatible with most device processes. Interestingly, very high absorption over the whole wavelength range determined by the Ge band gap has been achieved by nanostructuring the Ge surface [6,7,53,54]. This nanostructure, also known as black Ge, multiplies the surface area and, thus, requires more efficient passivation methods than planar counterparts. A recent study showed that, even with the surface passivation scheme combining HCl cleaning with subsequent ALD Al2O3 and improved by an additional intermediate H2O2-based etchback, the achievable minimum SRV of black Ge still seems to be heavily dependent on the surface area [55], indicating the need for improvements. One possible route towards enhanced passivation for the nanostructured surfaces could be applying the LT-UHV treatment seen here to reduce the Dit. With such a large surface area, even a small reduction in Dit could lead to a significant upgrade.

4. Conclusions

The findings of this work suggest that Ge surface cleaning with the combination of HF and DIW rinsing prior to ALD resulted in a poor-quality GeOx layer, which reduced the effectivity of a subsequent Al2O3 surface passivation. Nevertheless, the combination of low-temperature heating and controlled oxidation in an ultrahigh vacuum (LT-UHV treatment) improved the GeOx quality by shifting its composition towards higher oxidation states and by increasing the degree of its crystallinity. As a result, the SRV after a subsequent ALD Al2O3 passivation was reduced by 32%. The reason for this improvement was the reduction in Dit from 3.7 × 1012 cm−2 to 2.3 × 1012 cm−2. Such a decrease in Dit might provide much-needed assistance for the surface passivation of Ge nanostructures in the future.

Author Contributions

Conceptualization, J.I., Z.J.R., H.S., V.V. and P.L.; methodology, J.I., Z.J.R. and V.V.; software, J.I.; validation, J.I., Z.J.R., T.H.F., H.L., T.P.P., O.L., J.-P.L., M.M., K.K., H.S., V.V. and P.L.; formal analysis, J.I., Z.J.R., T.H.F., H.L., T.P.P., O.L., J.-P.L., M.M., K.K., H.S., V.V. and P.L.; investigation, J.I. and Z.J.R.; resources, J.I. and Z.J.R.; data curation, J.I. and Z.J.R.; writing—original draft preparation, J.I.; writing—review and editing, J.I., Z.J.R., V.V., H.S. and P.L.; visualization, J.I., Z.J.R. and P.L; supervision, H.S., V.V., P.L. and K.K.; funding acquisition, H.S., V.V., P.L. and K.K. All authors have read and agreed to the published version of the manuscript.

Funding

The authors thank the Academy of Finland (Project Nos. 13331313 and 13338974) for financial support. The work was partially funded through ATTRACT, a European Union Horizon 2020 research and innovation project under grant agreement No. 101004462. The work is also related to the Flagship on Photonics Research and Innovation “PREIN” funded by the Academy of Finland.

Data Availability Statement

The original data can be obtained from the first author of this article.

Acknowledgments

The authors acknowledge the provision of facilities by Aalto University at the OtaNano–Micronova Nanofabrication Centre. J. Isometsä acknowledges Aalto ELEC Doctoral School.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

Manuscript abbreviations and their definitions.
ALDatomic layer deposition
COCOScorona oxide characterization of semiconductors
Ditinterface defect density
DIWdeionized water
QSS-µPCDquasi-steady-state microwave-detected photoconductance decay
Qtotfixed charge density
LEEDlow-energy electron diffraction
LT-UHVlow-temperature heating and controlled oxidation in an ultrahigh vacuum
SRVsurface recombination velocity
XPSX-ray photoelectron spectroscopy
τeffeffective minority carrier lifetime

References

  1. Claeys, C.; Simoen, E. Germanium-Based Technologies: From Materials to Devices; Elsevier: Amsterdam, The Netherlands, 2007; ISBN 978-0-08-044953-1. [Google Scholar]
  2. Knoll, G.F. Radiation Detection and Measurement; John Wiley & Sons: Hoboken, NJ, USA, 2010; ISBN 9780470131480. [Google Scholar]
  3. Lee, M.L.; Leitz, C.W.; Cheng, Z.; Pitera, A.; Langdo, T.; Currie, M.; Taraschi, G.; Fitzgerald, E.A.; Antoniadis, D.A. Strained Ge channel p-type metal-oxide-semiconductor field-effect transistors grown on Si1-xGex/ Si virtual substrates. Appl. Phys. Lett. 2001, 79, 3344–3346. [Google Scholar] [CrossRef]
  4. Saraswat, K.C.; Chui, C.O.; Krishnamohan, T.; Nayfeh, A.; McIntyre, P. Ge based high performance nanoscale MOSFETs. Microelectron. Eng. 2005, 80, 15–21. [Google Scholar] [CrossRef]
  5. Xie, D.; Simoen, E.; Chen, H.; Arimura, H.; Horiguchi, N. Impact of Dummy Gate Removal and a Silicon Cap on the Low-Frequency Noise Performance of Germanium nFinFETs. IEEE Trans. Electron. Devices 2020, 67, 4713–4719. [Google Scholar] [CrossRef]
  6. Chen, K.; Isometsä, J.; Pasanen, T.P.; Vähänissi, V.; Savin, H. Efficient photon capture on germanium surfaces using industrially feasible nanostructure formation. Nanotechnology 2021, 32, 035301. [Google Scholar] [CrossRef] [PubMed]
  7. Pasanen, T.P.; Isometsä, J.; Garin, M.; Chen, K.; Vähänissi, V.; Savin, H. Nanostructured Germanium with >99% Absorption at 300–1600 nm Wavelengths. Adv. Opt. Mater. 2020, 8, 2000047. [Google Scholar] [CrossRef]
  8. Du, M.; Yang, T.; Jiao, K. Immobilization-free direct electrochemical detection for DNA specific sequences based on electrochemically converted gold nanoparticles/graphene composite film. J. Mater. Chem. 2010, 20, 9253–9260. [Google Scholar] [CrossRef]
  9. Hasegawa, B.H.; Stebler, B.; Rutt, B.K.; Martinez, A.; Gingold, E.L.; Barker, C.S.; Faulkner, K.G.; Cann, C.E.; Boyd, D.P. A prototype high-purity germanium detector system with fast photon-counting circuitry for medical imaging. Med. Phys. 1991, 18, 900–909. [Google Scholar] [CrossRef]
  10. Colace, L.; Assanto, G. Germanium on Silicon for Near-Infrared Light Sensing. IEEE Photonics J. 2009, 1, 69–79. [Google Scholar] [CrossRef]
  11. Colace, L.; Scacchi, A.; Assanto, G. Noise characterization of Ge/Si photodetectors. In Proceedings of the 8th IEEE International Conference on Group IV Photonics, London, UK, 14–16 September 2011; pp. 290–292. [Google Scholar]
  12. Soref, R. Mid-infrared photonics in silicon and germanium. Nat. Photonics 2010, 4, 495–497. [Google Scholar] [CrossRef]
  13. Alcañiz, A.; López, G.; Martín, I.; Jiménez, A.; Datas, A.; Calle, E.; Ros, E.; Gerling, L.G.; Voz, C.; del Cañizo, C.; et al. Germanium photovoltaic cells with MoOx hole-selective contacts. Sol. Energy 2019, 181, 357–360. [Google Scholar] [CrossRef]
  14. van der Heide, J.; Posthuma, N.E.; Flamand, G.; Geens, W.; Poortmans, J. Cost-efficient thermophotovoltaic cells based on germanium substrates. Sol. Energy Mater. Sol. Cells 2009, 93, 1810–1816. [Google Scholar] [CrossRef]
  15. An, S.; Liao, Y.; Shin, S.; Kim, M. Black Germanium Photodetector Exceeds External Quantum Efficiency of 160%. Adv. Mater. Technol. 2022, 7, 2100912. [Google Scholar] [CrossRef]
  16. Fadaly, E.M.T.; Dijkstra, A.; Suckert, J.R.; Ziss, D.; van Tilburg, M.A.J.; Mao, C.; Ren, Y.; van Lange, V.T.; Korzun, K.; Kölling, S.; et al. Direct-bandgap emission from hexagonal Ge and SiGe alloys. Nature 2020, 580, 205–209. [Google Scholar] [CrossRef] [PubMed]
  17. Son, B.; Lin, Y.; Lee, K.H.; Wang, Y.; Wu, S.; Tan, C.S. High speed and ultra-low dark current Ge vertical p-i-n photodetectors on an oxygen-annealed Ge-on-insulator platform with GeOx surface passivation. Opt. Express 2020, 28, 23978–23990. [Google Scholar] [CrossRef] [PubMed]
  18. Xie, Q.; Deng, S.; Schaekers, M.; Lin, D.; Caymax, M.; Delabie, A.; Qu, X.P.; Jiang, Y.L.; Deduytsche, D.; Detavernier, C. Germanium surface passivation and atomic layer deposition of high-k dielectrics-A tutorial review on Ge-based MOS capacitors. Semicond. Sci. Technol. 2012, 27, 074012. [Google Scholar] [CrossRef]
  19. Ponath, P.; Posadas, A.B.; Demkov, A.A. Ge(001) surface cleaning methods for device integration. Appl. Phys. Rev. 2017, 4, 021308. [Google Scholar] [CrossRef]
  20. Fukuda, Y.; Ueno, T.; Hirono, S.; Hashimoto, S. Electrical characterization of germanium oxide/germanium interface prepared by electron-cyclotron-resonance plasma irradiation. Jpn. J. Appl. Phys. Part 1 Regul. Pap. Short Notes Rev. Pap. 2005, 44, 6981–6984. [Google Scholar] [CrossRef]
  21. Xie, R.; Zhu, C. Effects of Sulfur Passivation on Ge MOS Capacitors with High-k Gate Dielectric. In Proceedings of the 2007 International Conference on Solid State Devices and Materials, Ibaraki, Japan, 18–21 September 2007; Volume 28, pp. 976–979. [Google Scholar] [CrossRef]
  22. Martín, I.; López, G.; Garín, M.; Voz, C.; Ortega, P.; Puigdollers, J. Effect of the thickness of amorphous silicon carbide interlayer on the passivation of c-Ge surface by aluminium oxide films. Surf. Interfaces 2022, 31, 102070. [Google Scholar] [CrossRef]
  23. Posthuma, N.E.; Flamand, G.; Geens, W.; Poortmans, J. Surface passivation for germanium photovoltaic cells. Sol. Energy Mater. Sol. Cells 2005, 88, 37–45. [Google Scholar] [CrossRef]
  24. Xie, Q.; Musschoot, J.; Schaekers, M.; Caymax, M.; Delabie, A.; Qu, X.-P.; Jiang, Y.-L.; Van den Berghe, S.; Liu, J.; Detavernier, C. Ultrathin GeOxNy interlayer formed by in situ NH3 plasma pretreatment for passivation of germanium metal-oxide-semiconductor devices. Appl. Phys. Lett. 2010, 97, 222902. [Google Scholar] [CrossRef]
  25. Chen, J.J.-H.; Bojarezuk, N.A.; Shang, H.; Copel, M.; Hannon, J.B.; Karasinski, J.; Preisler, E.; Banerjee, S.K.; Guha, S. Ultrathin Al2O3 and HfO2 gate dielectrics on surface nitrided Ge. IEEE Trans. Electron. Devices 2004, 51, 1441–1447. [Google Scholar] [CrossRef]
  26. Isometsä, J. Surface Passivation of Germanium with Atomic Layer Deposited Al2O3; Aalto University: Espoo, Finland, 2019. [Google Scholar]
  27. Isometsä, J.; Fung, T.H.; Pasanen, T.P.; Liu, H.; Yli-Koski, M.; Vähänissi, V.; Savin, H. Achieving surface recombination velocity below 10 cm/s in n-type germanium using ALD Al2O3. APL Mater. 2021, 9, 3–10. [Google Scholar] [CrossRef]
  28. Berghuis, W.J.H.; Melskens, J.; Macco, B.; Theeuwes, R.J.; Verheijen, M.A.; Kessels, W.M.M. Surface passivation of germanium by atomic layer deposited Al2O3 nanolayers. J. Mater. Res. 2021, 36, 571–581. [Google Scholar] [CrossRef]
  29. Berghuis, W.J.H.; Melskens, J.; Macco, B.; Theeuwes, R.J.; Black, L.E.; Verheijen, M.A.; Kessels, W.M.M. Excellent surface passivation of germanium by a-Si:H/Al2O3 stacks. J. Appl. Phys. 2021, 130, 135303. [Google Scholar] [CrossRef]
  30. Wong, Y.H.; Lei, Z.C.; Abidin, N.I.Z. Surface and interface characteristics of annealed ZrO2/Ge oxide-semiconductor structure in argon ambient. Surf. Interfaces 2021, 23, 101007. [Google Scholar] [CrossRef]
  31. Ke, M.; Takenaka, M.; Takagi, S. Slow Trap Properties and Generation in Al2O3/GeOx/Ge MOS Interfaces Formed by Plasma Oxidation Process. ACS Appl. Electron. Mater. 2019, 1, 311–317. [Google Scholar] [CrossRef]
  32. Liu, H.; Pasanen, T.P.; Fung, T.H.; Isometsä, J.; Leiviskä, O.; Vähänissi, V.; Savin, H. Comparison of SiNx-Based Surface Passivation Between Germanium and Silicon. Phys. Status Solidi 2023, 220, 2200690. [Google Scholar] [CrossRef]
  33. Brunco, D.P.; De Jaeger, B.; Eneman, G.; Mitard, J.; Hellings, G.; Satta, A.; Terzieva, V.; Souriau, L.; Leys, F.E.; Pourtois, G.; et al. Germanium MOSFET Devices: Advances in Materials Understanding, Process Development, and Electrical Performance. J. Electrochem. Soc. 2008, 155, H552. [Google Scholar] [CrossRef]
  34. Sioncke, S.; Brunco, D.P.; Meuris, M.; Uwamahoro, O.; Van Steenbergen, J.; Vrancken, E.; Heyns, M.M. Etch Rates of Ge, GaAs and InGaAs in Acids, Bases and Peroxide Based Mixtures. ECS Trans. 2008, 16, 451–460. [Google Scholar] [CrossRef]
  35. Kaur, G.; Dwivedi, N.; Zheng, X.; Liao, B.; Peng, L.Z.; Danner, A.; Stangl, R.; Bhatia, C.S. Understanding Surface Treatment and ALD AlOx Thickness Induced Surface Passivation Quality of c-Si Cz Wafers. IEEE J. Photovolt. 2017, 7, 1224–1235. [Google Scholar] [CrossRef]
  36. Dingemans, G.; Kessels, W.M.M. Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells. J. Vac. Sci. Technol. A Vac. Surf. Film. 2012, 30, 040802. [Google Scholar] [CrossRef]
  37. Rad, Z.J.; Lehtiö, J.P.; Mack, I.; Rosta, K.; Chen, K.; Vähänissi, V.; Punkkinen, M.; Punkkinen, R.; Hedman, H.P.; Pavlov, A.; et al. Decreasing Interface Defect Densities via Silicon Oxide Passivation at Temperatures Below 450 °C. ACS Appl. Mater. Interfaces 2020, 12, 46933–46941. [Google Scholar] [CrossRef] [PubMed]
  38. Jahanshah Rad, Z.; Lehtiö, J.P.; Chen, K.; Mack, I.; Vähänissi, V.; Miettinen, M.; Punkkinen, M.; Punkkinen, R.; Suomalainen, P.; Hedman, H.P.; et al. Effects of post oxidation of SiO2/Si interfaces in ultrahigh vacuum below 450 °C. Vacuum 2022, 202, 111134. [Google Scholar] [CrossRef]
  39. Wilson, M.; Findlay, A.; D’Amico, J.; Savtchouk, A.; Lagowski, J. State-of-the-art multiparameter characterization of the chemical and field effect passivation of very high lifetime n-Si with n+ front surface field (FSF). In Proceedings of the 2015 IEEE 42nd Photovoltaic Specialist Conference (PVSC), New Orleans, LA, USA, 14–19 June 2015. [Google Scholar]
  40. Wilson, M.; Savtchouk, A.; Lagowski, J.; Kis-Szabo, K.; Korsos, F.; Toth, A.; Kopecek, R.; Mihailetchi, V. QSS-μPCD measurement of lifetime in silicon wafers: Advantages and new applications. Energy Procedia 2011, 8, 128–134. [Google Scholar] [CrossRef]
  41. Wilson, M.; Lagowski, J.; Jastrzebski, L.; Savtchouk, A.; Faifer, V. COCOS (corona oxide characterization of semiconductor) non-contact metrology for gate dielectrics. In Proceedings of the AIP Conference Proceedings, American Institute of Physics, College Park, MD, USA, 28 March 2001; Volume 550, pp. 220–225. [Google Scholar]
  42. Klesse, W.M.; Scappucci, G.; Capellini, G.; Simmons, M.Y. Preparation of the Ge(001) surface towards fabrication of atomic-scale germanium devices. Nanotechnology 2011, 22, 145604. [Google Scholar] [CrossRef]
  43. Moulder, J.F.; Stickle, W.F.; Sobol, P.E.; Bomben, K.D. Handbook of X-ray Photoelectron Spectroscopy; Perkin-Elmer Corporation: Waltham, MA, USA, 1992. [Google Scholar]
  44. Zhang, R.; Taoka, N.; Huang, P.C.; Takenaka, M.; Takagi, S. 1-nm-thick EOT high mobility Ge n- and p-MOSFETs with ultrathin GeOx/Ge MOS interfaces fabricated by plasma post oxidation. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011. [Google Scholar] [CrossRef]
  45. Wang, X.; Nishimura, T.; Yajima, T.; Toriumi, A. Thermal oxidation kinetics of germanium. Appl. Phys. Lett. 2017, 111, 052101. [Google Scholar] [CrossRef]
  46. Kobayashi, M.; Thareja, G.; Ishibashi, M.; Sun, Y.; Griffin, P.; McVittie, J.; Pianetta, P.; Saraswat, K.; Nishi, Y. Radical oxidation of germanium for interface gate dielectric GeO2 formation in metal-insulator-semiconductor gate stack. J. Appl. Phys. 2009, 106, 104117. [Google Scholar] [CrossRef]
  47. Wada, A.; Zhang, R.; Takagi, S.; Samukawa, S. Formation of thin germanium dioxide film with a high-quality interface using a direct neutral beam oxidation process. Jpn. J. Appl. Phys. 2012, 51, 125603. [Google Scholar] [CrossRef]
  48. Toriumi, A.; Nishimura, T. Germanium CMOS potential from material and process perspectives: Be more positive about germanium. Jpn. J. Appl. Phys. 2018, 57, 010101. [Google Scholar] [CrossRef]
  49. Lu, C.; Lee, C.H.; Zhang, W.; Nishimura, T.; Nagashio, K.; Toriumi, A. Structural and thermodynamic consideration of metal oxide doped GeO2 for gate stack formation on germanium. J. Appl. Phys. 2014, 116, 174103. [Google Scholar] [CrossRef]
  50. Molle, A.; Bhuiyan, M.N.K.; Tallarida, G.; Fanciulli, M. In situ chemical and structural investigations of the oxidation of Ge(001) substrates by atomic oxygen. Appl. Phys. Lett. 2006, 89, 083504. [Google Scholar] [CrossRef]
  51. Owens, A. Semiconductor Radiation Detectors; CRC Press: Boca Raton, FL, USA, 2019; ISBN 9781351629171. [Google Scholar]
  52. Savin, H.; Repo, P.; von Gastrow, G.; Ortega, P.; Calle, E.; Garín, M.; Alcubilla, R. Black silicon solar cells with interdigitated back-contacts achieve 22.1% efficiency. Nat. Nanotechnol. 2015, 10, 624–628. [Google Scholar] [CrossRef] [PubMed]
  53. Steglich, M.; Käsebier, T.; Kley, E.B.; Tünnermann, A. Black Germanium fabricated by reactive ion etching. Appl. Phys. A Mater. Sci. Process. 2016, 122, 836. [Google Scholar] [CrossRef]
  54. Schicho, S.; Jaouad, A.; Sellmer, C.; Morris, D.; Aimez, V.; Arès, R. Black germanium produced by inductively coupled plasma etching. Mater. Lett. 2013, 94, 86–88. [Google Scholar] [CrossRef]
  55. Fung, T.H.; Isometsä, J.; Lehtiö, J.-P.; Pasanen, T.P.; Liu, H.; Leiviskä, O.; Laukkanen, P.; Savin, H.; Vähänissi, V. Efficient Passivation of Nanostructured Germanium Surfaces. Nanotechnology, 2023; under review. [Google Scholar]
Figure 1. The process flow of the conducted experiments.
Figure 1. The process flow of the conducted experiments.
Crystals 13 00667 g001
Figure 2. (a) Ge 3d and (b) O 1s XPS spectra for HF + DIW-cleaned samples. HCl-cleaned sample is shown as a reference. (c) LEED patterns for same samples.
Figure 2. (a) Ge 3d and (b) O 1s XPS spectra for HF + DIW-cleaned samples. HCl-cleaned sample is shown as a reference. (c) LEED patterns for same samples.
Crystals 13 00667 g002
Figure 3. (a) Ge 3d and (b) O 1s XPS spectra for HF + DIW-cleaned samples with and without the LT-UHV treatment. (c) LEED patterns for same samples.
Figure 3. (a) Ge 3d and (b) O 1s XPS spectra for HF + DIW-cleaned samples with and without the LT-UHV treatment. (c) LEED patterns for same samples.
Crystals 13 00667 g003
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Isometsä, J.; Jahanshah Rad, Z.; Fung, T.H.; Liu, H.; Lehtiö, J.-P.; Pasanen, T.P.; Leiviskä, O.; Miettinen, M.; Laukkanen, P.; Kokko, K.; et al. Surface Passivation of Germanium with ALD Al2O3: Impact of Composition and Crystallinity of GeOx Interlayer. Crystals 2023, 13, 667. https://doi.org/10.3390/cryst13040667

AMA Style

Isometsä J, Jahanshah Rad Z, Fung TH, Liu H, Lehtiö J-P, Pasanen TP, Leiviskä O, Miettinen M, Laukkanen P, Kokko K, et al. Surface Passivation of Germanium with ALD Al2O3: Impact of Composition and Crystallinity of GeOx Interlayer. Crystals. 2023; 13(4):667. https://doi.org/10.3390/cryst13040667

Chicago/Turabian Style

Isometsä, Joonas, Zahra Jahanshah Rad, Tsun H. Fung, Hanchen Liu, Juha-Pekka Lehtiö, Toni P. Pasanen, Oskari Leiviskä, Mikko Miettinen, Pekka Laukkanen, Kalevi Kokko, and et al. 2023. "Surface Passivation of Germanium with ALD Al2O3: Impact of Composition and Crystallinity of GeOx Interlayer" Crystals 13, no. 4: 667. https://doi.org/10.3390/cryst13040667

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop