AI-Powered Next-Generation Technology for Semiconductor Optical Metrology: A Review
Abstract
1. Introduction
2. Principles of Optical Spectroscopy Based on MME
- Electromagnetic (EM) solver: A parameterized structural model is established (e.g., describing a trapezoidal grating using parameters like height, top linewidth, and sidewall angle). Rigorous electromagnetic simulation methods, such as Rigorous Coupled-Wave Analysis (RCWA), the Finite Element Method (FEM), or the Finite-Difference Time-Domain (FDTD) method, are employed to solve Maxwell’s equations and compute the simulated Mueller matrix , where is the vector of parameters to be determined.
- Inverse Problem Optimization: The parameters are solved by minimizing the residual between the measured matrix and the simulated matrix . The objective function is defined as a weighted sum of squared differences:
3. AI-Enabled Optical Metrology
3.1. Overview of AI Applications in Optical Metrology
3.2. Forward Surrogate Models
3.3. Inverse Prediction Models
3.4. Overcoming Real-Spectral-Data Challenges: PINN Paradigms
3.5. Multi-Stage Network Architecture
3.6. Challenges
4. PMISH’s AI Architecture and Examples
5. Summary and Outlook
Funding
Data Availability Statement
Conflicts of Interest
References
- Hussain, D.; Ahmad, K.; Song, J.; Xie, H. Advances in the atomic force microscopy for critical dimension metrology. Meas. Sci. Technol. 2017, 28, 012001. [Google Scholar] [CrossRef]
- Yacoot, A.; Koenders, L. Recent developments in dimensional nanometrology using AFMs. Meas. Sci. Technol. 2011, 22, 122001. [Google Scholar] [CrossRef]
- Lorusso, G.F.; Horiguchi, N.; Bommels, J.; Wilson, C.J.; Geert, V.D.B.; Kar, G.S.; Ohashi, T.; Sutani, T.; Watanabe, R.; Takemasa, Y. Electron beam metrology for advanced technology nodes. Jpn. J. Appl. Phys. 2019, 58, SD0801. [Google Scholar] [CrossRef]
- Shimizu, Y.; Chen, L.C.; Kim, D.W.; Chen, X.; Li, X.; Matsukuma, H. An insight into optical metrology in manufacturing. Meas. Sci. Technol. 2021, 32, 042003. [Google Scholar] [CrossRef]
- Aspnes, D.E. Spectroscopic ellipsometry—Past, present, and future. Thin Solid Films 2014, 571, 334–344. [Google Scholar] [CrossRef]
- Ohashi, T.; Yamaguchi, A.; Hasumi, K.; Ikota, M.; Lorusso, G.; Tan, C.L.; Van den Bosch, G.; Furnémont, A. Precise measurement of thin-film thickness in 3D-NAND device with CD-SEM. J. Micro/Nanolithogr. MEMS MOEMS 2018, 17, 024002. [Google Scholar] [CrossRef]
- Kondo, T.; Ban, N.; Ebizuka, Y.; Toyoda, Y.; Yamada, Y.; Kashiwa, T.; Koike, H.; Shindo, H.; Charley, A.L.; Saib, M. Massive metrology and inspection solution for EUV by area inspection SEM with machine learning technology. Proc. SPIE 2021, 11611, 210–219. [Google Scholar]
- Li, C.; Tu, H.T.; Zheng, Y.X.; Wang, S.Y.; Zhang, R.J.; Zhao, H.B.; Yang, Y.M.; Chen, L.Y. Research on spectroscopic ellipsometry in China with future challenges. Thin Solid Films 2023, 764, 139593. [Google Scholar] [CrossRef]
- Guo, C.; Kong, M.; Gao, W.; Li, B. Simultaneous determination of optical constants, thickness, and surface roughness of thin film from spectrophotometric measurements. Opt. Lett. 2013, 38, 40–42. [Google Scholar] [CrossRef] [PubMed]
- Stenzel, O.; Ohlídal, M. Optical Characterization of Thin Films by Means of Imaging Spectroscopic Reflectometry; Springer: Cham, Switzerland, 2018; pp. 107–141. [Google Scholar] [CrossRef]
- Woollam, J.A.; Snyder, P.G. Fundamentals and applications of variable angle spectroscopic ellipsometry. Mater. Sci. Eng. B 1990, 5, 279–283. [Google Scholar] [CrossRef]
- Hilfiker, J.N.; Singh, N.; Tiwald, T.; Convey, D.; Smith, S.M.; Baker, J.H.; Tompkins, H.G. Survey of methods to characterize thin absorbing films with spectroscopic ellipsometry. Thin Solid Films 2008, 516, 7979–7989. [Google Scholar] [CrossRef]
- Maurya, R.K.; Bhowmick, B. Review of FinFET devices and perspective on circuit design challenges. Silicon 2022, 14, 5783–5791. [Google Scholar] [CrossRef]
- Orji, N.G.; Badaroglu, M.; Barnes, B.M.; Beitia, C.; Bunday, B.D.; Celano, U.; Kline, R.J.; Neisser, M.; Obeng, Y.; Vladar, A. Metrology for the next generation of semiconductor devices. Nat. Electron. 2018, 1, 532–547. [Google Scholar] [CrossRef] [PubMed]
- Goda, A. Recent progress on 3D NAND flash technologies. Electronics 2021, 10, 3156. [Google Scholar] [CrossRef]
- Salah, H. Silicon Wafer Defects Classification Using Deep Learning Techniques. Ph.D. Thesis, Arab American University, Jenin, Palestine, 2024. [Google Scholar]
- Li, Y.; Du, J.; Jiang, W. Reinforcement learning for process control with application in semiconductor manufacturing. IISE Trans. 2024, 56, 585–599. [Google Scholar] [CrossRef]
- Novikova, T.; De Martino, A.; Hatit, S.B.; Drévillon, B. Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics. Appl. Opt. 2006, 45, 3688–3697. [Google Scholar] [CrossRef] [PubMed]
- Novikova, T.; Martino, A.D.; Bulkin, P.; Nguyen, Q.; Drévillon, B.; Popov, V.; Chumakov, A. Metrology of replicated diffractive optics with Mueller polarimetry in conical diffraction. Opt. Express 2007, 15, 2033–2046. [Google Scholar] [CrossRef] [PubMed]
- Liu, S.; Chen, X.; Zhang, C. Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology. Thin Solid Films 2015, 584, 176–185. [Google Scholar] [CrossRef]
- Chen, X.; Du, W.; Yuan, K.; Chen, J.; Jiang, H.; Zhang, C.; Liu, S. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology. Rev. Sci. Instrum. 2016, 87, 053707. [Google Scholar] [CrossRef] [PubMed]
- Hornik, K.; Stinchcombe, M.; White, H. Multilayer feedforward networks are universal approximators. Neural Netw. 1989, 2, 359–366. [Google Scholar] [CrossRef]
- Krukar, R.; Kornblit, A.; Clark, L.A.; Kruskal, J.; Lambert, D.; Reitman, E.A.; Gottscho, R.A. Reactive ion etching profile and depth characterization using statistical and neural network analysis of light scattering data. J. Appl. Phys. 1993, 74, 3698–3706. [Google Scholar] [CrossRef]
- Krizhevsky, A.; Sutskever, I.; Hinton, G.E. Imagenet classification with deep convolutional neural networks. Adv. Neural Inf. Process. Syst. 2012, 25, 1097–1105. [Google Scholar] [CrossRef]
- Yang, S.; Chen, X.; Chen, W.; Hu, J.; Wang, Y.; Liu, S.; Liu, S. Channeled spectroscopic ellipsometry enabled by physics-informed tandem untrained neural networks. Measurement 2024, 235, 114940. [Google Scholar] [CrossRef]
- Mattila, A.; Nysten, J.; Heikkinen, V.; Kilpi, J.; Korpelainen, V.; Hansen, P.E.; Karvinen, P.; Kuittinen, M.; Lassila, A. Artificial neural network assisted spectral scatterometry for grating quality control. Meas. Sci. Technol. 2024, 35, 085025. [Google Scholar] [CrossRef]
- Mudide, S.; Keller, N.; Andrew Antonelli, G.; Cruz, G.; Hart, J.; Bruccoleri, A.R.; Heilmann, R.K.; Schattenburg, M.L. Machine learning driven measurement of high-aspect-ratio nanostructures using Mueller matrix spectroscopic ellipsometry. J. Vac. Sci. Technol. B 2025, 43, 012801. [Google Scholar] [CrossRef]
- Liu, S.; Chen, X.; Yang, T.; Guo, C.; Zhang, J.; Ma, J.; Chen, C.; Wang, C.; Zhang, C.; Liu, S. Machine learning aided solution to the inverse problem in optical scatterometry. Measurement 2022, 191, 110811. [Google Scholar] [CrossRef]
- Meng, K.; Jiang, B.; Youcef-Toumi, K. Neural network assisted multi-parameter global sensitivity analysis for nanostructure scatterometry. Appl. Surf. Sci. 2021, 570, 151219. [Google Scholar] [CrossRef]
- Pianosi, F.; Wagener, T. A simple and efficient method for global sensitivity analysis based on cumulative distribution functions. Environ. Model. Softw. 2015, 67, 1–11. [Google Scholar] [CrossRef]
- Kallioniemi, I.; Saarinen, J.; Oja, E. Optical scatterometry of subwavelength diffraction gratings: Neural-network approach. Appl. Opt. 1998, 37, 5830–5835. [Google Scholar] [CrossRef] [PubMed]
- Robert, S.; Ravaud, A.M.; Reynaud, S.; Fourment, S.; Carcenac, F.; Arguel, P. Experimental characterization of subwavelength diffraction gratings by an inverse-scattering neural method. J. Opt. Soc. Am. A 2002, 19, 2394–2402. [Google Scholar] [CrossRef] [PubMed]
- Robert, S.; Mure-Rauvaud, A.; Thiria, S.; Badran, F. Estimation of local error by a neural model in an inverse scattering problem. Eur. Phys. J.-Appl. Phys. 2005, 31, 71–76. [Google Scholar] [CrossRef]
- Robert, S.; Battie, Y.; Jamon, D.; Royer, F. Accurate and rapid optical characterization of an anisotropic guided structure based on a neural method. Appl. Opt. 2007, 46, 2036–2040. [Google Scholar] [CrossRef] [PubMed]
- Wei, S.; Li, L. Measurement of photoresist grating profiles based on multiwavelength scatterometry and artificial neural network. Appl. Opt. 2008, 47, 2524–2532. [Google Scholar] [CrossRef] [PubMed]
- Fu, L.; Wang, X.; Frenner, K.; Reichelt, S. Comparative analysis of grating reconstruction: Deep learning versus Levenberg-Marquardt methods. In Proceedings of the Modeling Aspects in Optical Metrology IX, Munich, Germany, 26–28 June 2023; SPIE: San Francisco, CA, USA, 2023; Volume 12619, pp. 50–56. [Google Scholar]
- Sabbagh, R.; Stothert, A.; Djurdjanovic, D. Machine learning for rapid inference of critical dimensions in optical metrology of nanopatterned surfaces. CIRP J. Manuf. Sci. Technol. 2023, 47, 184–192. [Google Scholar] [CrossRef]
- Bahrenberg, L.; Glabisch, S.; Danylyuk, S.; Ghafoori, M.; Schröder, S.; Brose, S.; Stollenwerk, J.; Loosen, P. Nanoscale grating characterization through EUV spectroscopy aided by machine learning techniques. In Proceedings of the Metrology, Inspection, and Process Control for Microlithography XXXIV, San Jose, CA, USA, 24–27 February 2020; SPIE: San Francisco, CA, USA, 2020; Volume 11325, pp. 158–165. [Google Scholar]
- Jo, T.; Choi, I.; Choi, D.; Bae, Y.; Byoun, S.; Kim, I.; Lee, S.; Choi, C.; Kum, E.; Kang, Y.; et al. Machine learning aided process control: Critical dimension uniformity control of etching process in 1z nm DRAM. In Proceedings of the Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, Online, 22–26 February 2021; SPIE: San Francisco, CA, USA, 2021; Volume 11611, pp. 368–373. [Google Scholar]
- Fu, Z.Y.; Chein, W.H.; Yang, F.S.; Chen, L.C. Artificial-neural-network-assisted DUV scatterometry for OCD on HAR sub-micron structures. In Proceedings of the Metrology, Inspection, and Process Control XXXVII, Online, 27 February–2 March 2023; SPIE: San Francisco, CA, USA, 2023; Volume 12496, pp. 79–89. [Google Scholar]
- Yang, F.S.; Wu, M.R.; Hung, Y.H.; Fu, Z.Y.; Chen, L.C. AI-enhanced optical critical dimension metrology for high aspect ratio structures in semiconductor advanced packaging. In Proceedings of the Novel Patterning Technologies 2024, San Jose, CA, USA, 25–29 February 2024; SPIE: San Francisco, CA, USA, 2024; Volume 12956, pp. 255–260. [Google Scholar]
- Kfoury, P.; Battie, Y.; Naciri, A.E.; Voue, M.; Chaoui, N. Rapid ellipsometric imaging characterization of nanocomposite films with an artificial neural network. Opt. Lett. 2024, 49, 574–577. [Google Scholar] [CrossRef] [PubMed]
- Arunachalam, A.; Berriel, S.N.; Feit, C.; Kumar, U.; Seal, S.; Basu, K.; Banerjee, P. Machine learning approach to thickness prediction from in situ spectroscopic ellipsometry data for atomic layer deposition processes. J. Vac. Sci. Technol. A 2022, 40, 012405. [Google Scholar] [CrossRef]
- Liu, S.; Chen, X.; Yang, T.; Zhang, J.; Liu, S. Inverse optical scatterometry using sketch-guided deep learning. Opt. Express 2024, 32, 20303–20315. [Google Scholar] [CrossRef] [PubMed]
- Kong, D.; Schmidt, D.; Church, J.; Liu, C.C.; Breton, M.; Murray, C.; Miller, E.; Meli, L.; Sporre, J.; Felix, N.; et al. Measuring local CD uniformity in EUV vias with scatterometry and machine learning. In Proceedings of the Metrology, Inspection, and Process Control for Microlithography XXXIV, San Jose, CA, USA, 24–27 February 2020; SPIE: San Francisco, CA, USA, 2020; Volume 11325, pp. 232–241. [Google Scholar]
- Das, S.; Hung, J.; Halder, S.; Schelcher, G.; Koret, R.; Turovets, I.; Saib, M.; Charley, A.L.; Sendelbach, M.; Ger, A.; et al. Machine learning for predictive electrical performance using OCD. In Proceedings of the Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, CA, USA, 25–28 February 2019; SPIE: San Francisco, CA, USA, 2019; Volume 10959, pp. 71–79. [Google Scholar]
- Meng, M.; Tu, L.; Mi, J.; Zhou, H.; Zou, X. Machine learning and hybrid metrology using HV-SEM and optical methods to monitor channel hole tilting in-line for 3D NAND wafer production. In Proceedings of the Metrology, Inspection, and Process Control for Microlithography XXXIV, San Jose, CA, USA, 24–27 February 2020; SPIE: San Francisco, CA, USA, 2020; Volume 11325, pp. 113–119. [Google Scholar]
- Kong, D.; Chao, R.; Breton, M.; Liu, C.c.; Muthinti, G.R.; Seo, S.c.; Loubet, N.J.; Montanini, P.; Gaudiello, J.; Basker, V.; et al. In-line characterization of non-selective SiGe nodule defects with scatterometry enabled by machine learning. In Proceedings of the Metrology, Inspection, and Process Control for Microlithography XXXII, San Jose, CA, USA, 26 February–1 March 2018; SPIE: San Francisco, CA, USA, 2018; Volume 10585, pp. 225–234. [Google Scholar]
- Schmidt, D.; Petrillo, K.; Breton, M.; Fullam, J.; Koret, R.; Turovets, I.; Cepler, A. Advanced EUV resist characterization using scatterometry and machine learning. In Proceedings of the 2021 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), Milpitas, CA, USA, 10–12 May 2021; IEEE: Piscataway, NJ, USA, 2021; pp. 1–4. [Google Scholar]
- Yann Battie, A.; Valero, A.C.; Horwat, D.; Naciri, A.E. Rapid ellipsometric determination and mapping of alloy stoichiometry with a neural network. Opt. Lett. 2022, 47, 2117–2120. [Google Scholar] [CrossRef] [PubMed]
- Madsen, J.S.M.; Jensen, S.A.; Nygård, J.; Hansen, P.E. Replacing libraries in scatterometry. Opt. Express 2018, 26, 34622–34632. [Google Scholar] [CrossRef] [PubMed]
- Gereige, I.; Robert, S.; Thiria, S.; Badran, F.; Granet, G.; Rousseau, J.J. Recognition of diffraction-grating profile using a neural network classifier in optical scatterometry. J. Opt. Soc. Am. A 2008, 25, 1661–1667. [Google Scholar] [CrossRef] [PubMed]
- Wang, Z.; Lin, Y.C.; Zhang, K.; Wu, W.; Huang, S. EllipsoNet: Deep-learning-enabled optical ellipsometry for complex thin films. arXiv 2022, arXiv:2210.05630. [Google Scholar]
- Dailey, R.; Bertelson, S.; Kim, J.; Djurdjanovic, D. Virtual Metrology of Critical Dimensions in Plasma Etch Processes Using Entire Optical Emission Spectrum. IEEE Trans. Semicond. Manuf. 2024, 37, 363–372. [Google Scholar] [CrossRef]
- Kim, B.; Hong, S.J. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data. J. Korean Phys. Soc. 2014, 65, 168–175. [Google Scholar] [CrossRef]
- Ghosh, A.; Elhamod, M.; Bu, J.; Lee, W.C.; Karpatne, A.; Podolskiy, V.A. Physics-Informed Machine Learning for Optical Modes in Composites. Adv. Photonics Res. 2022, 3, 2200073. [Google Scholar] [CrossRef]
- Wong, F.J.; Hao, Y.; Ming, W.; Žuvela, P.; Teh, P.; Shi, J.; Li, J. Methods to overcome limited labeled data sets in machine learning-based optical critical dimension metrology. In Proceedings of the Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, Online, 22–26 February 2021; SPIE: San Francisco, CA, USA, 2021; Volume 11611, pp. 406–413. [Google Scholar]
- Wörnhör, A.; Kumar, S.; Burkhardt, D.; Schönauer, J.; Pingel, S.; Vulcanean, I.V.; Steinmetz, A.; Rein, S.; Demant, M. Physics-informed machine learning for TCO-layer thickness prediction and process analysis from multi-spectral images. Sol. Energy Mater. Sol. Cells 2025, 285, 113541. [Google Scholar] [CrossRef]
- Kim, Q.; Lee, S.; Ma, A.; Kim, J.; Noh, H.K.; Chang, K.B.; Cheon, W.; Yi, S.; Jeong, J.; Kim, B.; et al. A simulation physics-guided neural network for predicting semiconductor structure with few experimental data. Solid-State Electron. 2023, 201, 108568. [Google Scholar] [CrossRef]
- Jung, J.; Kim, N.; Kim, K.; Park, J.; Cho, Y.J.; Chegal, W.; Kim, Y.J. Neural network-based analysis algorithm on Mueller matrix data of spectroscopic ellipsometry for the structure evaluation of nanogratings with various optical constants. Nanophotonics 2025, 14, 471–484. [Google Scholar] [CrossRef] [PubMed]
- Godi Tchéré, M.; Robert, S.; Fawzi, Z.S.; Bayard, B.; Jamon, D.; Gourgon, C. Experimental identification of a grating profile using neural network classifiers in optical scatterometry. Appl. Opt. 2021, 60, 7929–7936. [Google Scholar] [CrossRef] [PubMed]
- Digraci, P.; Besacier, M.; Gergaud, P.; Rademaker, G.; Rêche, J. Multi-branch neural network for hybrid metrology improvement. In Proceedings of the Metrology, Inspection, and Process Control XXXVI, San Jose, CA, USA, 23–27 May 2022; SPIE: San Francisco, CA, USA, 2022; Volume 12053, pp. 297–306. [Google Scholar]
- Jung, J.; Kim, K.; Choi, J.; Kim, N.; Chegal, W.; Cho, Y.J.; Kim, Y.J. Geometric analysis algorithm based on a neural network with localized simulation data for nano-grating structure using Mueller matrix spectroscopic ellipsometry. Opt. Express 2023, 31, 44364–44374. [Google Scholar] [CrossRef] [PubMed]
- Kallioniemi, I.J.; Saarinen, J. Optical scatterometry with neural network model for nondestructive measurement of submicron features. In Proceedings of the In-Line Characterization, Yield Reliability, and Failure Analyses in Microelectronic Manufacturing, Edinburgh, UK, 19–21 May 1999; SPIE: San Francisco, CA, USA, 1999; Volume 3743, pp. 33–40. [Google Scholar]
- Ming, Z.; Liu, D.; Xiao, L.; Yang, L.; Cheng, Y.; Yang, H.; Zhou, J.; Ding, H.; Yang, Z.; Wang, K. Nondestructive measurement of terahertz optical thin films by machine learning based on physical consistency. Opt. Express 2024, 32, 16426–16436. [Google Scholar] [CrossRef] [PubMed]
- Zhu, P.; Zhang, D.; Niu, X.; Liu, J.; Ren, M.; Xu, J. A lightweight neural network for spectroscopic ellipsometry analysis. Adv. Opt. Mater. 2024, 12, 2301381. [Google Scholar] [CrossRef]
- Li, J.W.; Hsu, C.H.; Wang, J.K.; Tsai, B.E.; Su, E.; Ho, C.C. Predicting the Critical Dimensions of Micron and Sub-micron structures Using Joint Training Models and Electromagnetic Simulation Tools. Int. J. Precis. Eng. Manuf. 2024, 25, 1773–1781. [Google Scholar] [CrossRef]
- Zhang, C.; Liu, S.; Shi, T.; Tang, Z. Improved model-based infrared reflectrometry for measuring deep trench structures. J. Opt. Soc. Am. A 2009, 26, 2327–2335. [Google Scholar] [CrossRef] [PubMed]
- Ahn, H.; Bae, Y.; Song, J.; Kim, N.; Ahn, J.; Jo, S.; Kim, W.; Lee, M. RCWA acceleration for channel-hole structures with a neural network. In Proceedings of the Modeling Aspects in Optical Metrology IX, Munich, Germany, 26–28 June 2023; SPIE: San Francisco, CA, USA, 2023; Volume 12619, pp. 126–136. [Google Scholar]
- Kim, M.; Kim, Q.; Chang, K.B.; Jeong, J.; Lee, S.; Mo, S.; Kang, D.; Park, J.; Kim, Y.S.; Jeong, Y.; et al. A few-shot machine learning-based OCD metrology algorithm with anomaly detection and wafer-level data augmentation. In Proceedings of the Metrology, Inspection, and Process Control XXXVIII, San Jose, CA, USA, 25–29 February 2024; SPIE: San Francisco, CA, USA, 2024; Volume 12955, pp. 189–196. [Google Scholar]
- Zhu, H.; Lee, Y.; Shan, H.; Zhang, J. Maximum contributed component regression for the inverse problem in optical scatterometry. Opt. Express 2017, 25, 15956–15966. [Google Scholar] [CrossRef] [PubMed]
- Yeh, J.; Chouaib, H. Scatterometry and machine learning for in-die overlay solution. In Proceedings of the Metrology, Inspection, and Process Control XXXVII, San Jose, CA, USA, 27 February–2 March 2023; SPIE: San Francisco, CA, USA, 2023; Volume 12496, pp. 712–720. [Google Scholar]
- Kim, I.; Gwak, S.; Bae, Y.; Jo, T. Optical spectrum augmentation for machine learning powered spectroscopic ellipsometry. Opt. Express 2022, 30, 16909–16920. [Google Scholar] [CrossRef] [PubMed]
Method | Speed | Accuracy | Storage |
---|---|---|---|
Nonlinear Regression | Slow | High | Small |
Library Search | Fast | Low | Large |
ANN Surrogate | Fast | High | Small |
Target Parameters | Core Algorithm and Models | Results and Discussion | Limitations |
---|---|---|---|
Nanostructure profiles [44] | Sketch-guided neural network (SGNN) integrated with generic profile model, CNN architecture with profile smoothing | SGNN achieves MAE < 1.5 nm for rectangular/trapezoidal gratings. Experimental comparison shows MSE as low as compared to nonlinear regression, outperforming traditional deep learning in generalizability | The universal profile model remains a parametric straitjacket. No fixed geometric abstraction can encapsulate the infinite variability of nanofabrication physics |
Local critical dimension uniformity (LCDU), critical dimension (CD) [45] | Supervised learning with PCA, trained using CD-SEM data as reference | For 44 nm pitch EUV vias, LCDU measurement shows R2 > 0.92 with CD-SEM, achieving 40% throughput improvement; supports in situ comparison before and after etching | Sensitive to defect noise under extreme dose/focus conditions; small CD-SEM sample size may introduce statistical bias. |
Metal line resistance, capacitance [46] | MLP combined with traditional OCD model, trained on IMEC N14 process data for spectral–electrical property mapping | Resistance prediction shows R2 = 0.93, capacitance prediction R2 = 0.97, improving 20% accuracy over traditional RCWA models; correlates spacer thickness with defect density | Relies on backend electrical test data labeling; limited transferability to new material systems |
Channel hole tilt angles (Tilt-X, Tilt-Y) [47] | Multilayer perceptron (MLP) combined with Mueller matrix analysis, using PCA for dimensionality reduction on 45 wafers’ spectral data | Tilt-X/Y measurements show R2 > 0.92 with HV-SEM, precision < 1.2 nm, enabling 40% throughput improvement for in-line 3D NAND etching monitoring | In HAR structures, optical signals are affected by sidewall shadowing, increasing measurement bias at extreme tilt angles |
SiGe nodule defect density, vertical location [48] | Supervised learning (random forest/neural network) fusing scatterometry spectra with CDSEM/TEM image features | For GAA nanosheet structures, defect density prediction accuracy > 95%; correlates spacer thickness with vertical defect distribution (e.g., 40% defects below hardmask) | Limited spectral feature extraction for sub-10 nm nodules; requires high-resolution reference data |
CDs, LER [49] | Machine learning regression model (based on Nova SpectraProbe) with Mueller matrix asymmetry analysis | For 32–40 nm pitch EUV resists, CD/LER show R2 = 0.995/0.87 with AFM/CDSEM; single measurement replaces four traditional steps | LER/LTR measurements limited by spectral signal-to-noise ratio, with larger errors for small-scale roughness (<2 nm) |
Alloy stoichiometry (e.g., x in ) [50] | MLP (108-dimensional ellipsometric angles input, 10-node hidden layer), trained on 30 EDX-spectral pairs | For Au-Ag alloys, x measurement shows = 0.92 with EDX; gradient mapping resolution reaches 0.6 mm, identifying compositional gradient trends | Small training sample size (30 pairs); requires re-modeling for ternary alloys (e.g., Au-Ag-Cu) |
Defect types [51] | Semi-analytical model (based on TIS theory) +MLP with 121-dimensional spectral input and 10-node hidden layer | For Si grating defects, semi-analytical model achieves MAE < 0.5 nm for interface defects; MLP shows R2 = 0.993 for substrate defects, processing at 0.46 ms/run (library search requires tens of thousands of RCWA calculations) | Semi-analytical model fails for complex embedded substrate defects; MLP generalizes poorly to non-sinusoidal substrate defects |
Grating profile type [52] | MLP with 36-dimensional spectral intensity () input and binary-coded class output | Achieves > 96% classification accuracy for 2 m periodic gratings, assisting spectroscopy model selection (e.g., trapezoidal model reduces MSE by 40%) | Supports binary classification only, unable to handle mixed profiles (e.g., trapezoidal with rounded corners) |
Complex refractive indices (n and k) [53] | Encoder–decoder convolutional neural network (EllipsoNet and C-EllipsoNet), with a loss function combining MSE and 1-PCC | Trained on 450,000 simulated multilayer stacks, achieves median PCC of 0.88 on unseen test data. Predicts n and k for experimental 2D materials (, etc.) with reasonable accuracy | Spontaneously learns Kramers–Kronig relations; prediction accuracy for experimental data is slightly lower than for simulated data; performance degrades for structures with fewer material variations in substrates |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2025 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Xu, W.; Zhang, H.; Ji, L.; Li, Z. AI-Powered Next-Generation Technology for Semiconductor Optical Metrology: A Review. Micromachines 2025, 16, 838. https://doi.org/10.3390/mi16080838
Xu W, Zhang H, Ji L, Li Z. AI-Powered Next-Generation Technology for Semiconductor Optical Metrology: A Review. Micromachines. 2025; 16(8):838. https://doi.org/10.3390/mi16080838
Chicago/Turabian StyleXu, Weiwang, Houdao Zhang, Lingjing Ji, and Zhongyu Li. 2025. "AI-Powered Next-Generation Technology for Semiconductor Optical Metrology: A Review" Micromachines 16, no. 8: 838. https://doi.org/10.3390/mi16080838
APA StyleXu, W., Zhang, H., Ji, L., & Li, Z. (2025). AI-Powered Next-Generation Technology for Semiconductor Optical Metrology: A Review. Micromachines, 16(8), 838. https://doi.org/10.3390/mi16080838