A Survey of MPSoC Management toward Self-Awareness
Abstract
:1. Introduction
2. MPSoC Management
2.1. System Management
Network Management
2.2. Management Approaches
2.2.1. Hardware-Focused
2.2.2. Software-Focused
2.2.3. Hardware and Software Focused
2.3. Management Organization
2.3.1. Centralized
2.3.2. Distributed
2.3.3. Hierarchical
2.4. Constantly Addressed Issues
2.4.1. Scalability
2.4.2. Runtime Management
2.4.3. Architecture
2.5. Evolution of MPSoC Management
2.6. Summary
3. MPSoCs Management Objectives and Improvements
3.1. MPSoCs Management Optimizaton Metrics
- Power efficiency: One of the most relevant and researched aspects in the last decades is the energy consumption of embedded systems. The technological demands of new platforms have led to the integration of multiple processing elements within the same chip since they provide a level of parallelism that allows solving of the performance requirements of increasingly complex applications [145]. An NoC typically interconnects an MPSoC, which consumes a significant portion of the system power, so power consumption has become a crucial performance metric when designing [2]. An increase in specific parameters is required to meet more strict performance requirements, for example, higher operating frequencies. These demanding conditions and the workload variability of new systems increment power consumption and heat dissipation. Therefore, efficient management of these aspects has become vital in modern designs, especially in battery-operated mobile systems.
- Thermal: The on-chip temperature control of modern MPSoCs has become crucial because of its short- and long-term implications. These implications are related to high-temperature variations, which could severely affect the system’s reliability and performance [146]. These thermal conditions are especially detrimental to more temperature-sensitive systems such as optical NoCs [147]. Since conventional on-chip cooling is unavailable due to cost and space constraints, researchers are developing techniques to manage the temperature of SoCs. These management schemes also help to increase the tolerance to permanent failures, extending the lifespan of the components since the temperature is one of the leading agents that accelerates the aging effects of the SoCs [86]. Furthermore, these management techniques must be robust enough to deal with the space and time temperature distribution that the complexity of the new system NoC imposes [148].
- Fault tolerance: An MPSoC is subject to different failures affecting processing and communication links. System reliability is affected by the faults that the system may incur, so many researchers have designed architectures and management schemes to anticipate and avoid certain types of failures. The types of failures identified within systems-on-chip, especially in new MPSoCs, fall into three main categories: transient, permanent, and intermittent faults [149]. These failures are caused by effects such as soft (cosmic) errors, crosstalk, electromagnetic interference (EMI), intersymbol interference, noise, electromigration, and aging of materials [150,151]. Transient faults have a random behavior occurring in one or several execution cycles, while permanent are due to wholly damaged components that cause logic faults or operation delays. Intermittent faults have repetitive behavior and occur in the same place [149]. Several MPSoCs include spare structures to tolerate some of these failures, leveraging the increased number of processing elements. However, the increased number of processing elements sets new challenges, which makes combining management schemes with runtime system monitoring and actuation necessary to add fault tolerance.
- Latency: Communication latency within networks is defined as the time it takes for a packet to go through the network from the source node to the destination node, measured in clock cycles [2]. Latency can also denote the time it takes for some process to be performed from start to finish. For example, path-finding latency refers to the time it takes for the system to define communication paths in a circuit-switched scheme [14].
- Throughput: In a communication network, throughput is the packet rate delivered by the network, measured in bits per clock cycle. This metric is based on the count of packets reaching their destination within a given time interval for each source–destination link pair. Throughput is also defined as the maximum load the physical network can handle. Current MPSoCs demand higher requirements for applications running task parallelism with intensive information exchanges [86]. Thus, the system must offer throughput guarantees to meet the deadlines incurred by demanding applications [115]. Resource management focused on controlling certain variables, such as congestion or network traffic, can significantly benefit this performance metric.
- Security: Security has taken an important role in recent years within the MPSoC environment. New paradigms, such as IoT, seek the massive integration of devices sharing resources, making them more vulnerable to malicious attacks. Most MPSoCs are interconnected by NoCs that have access to all system resources and information, so most attacks are aimed at corrupting the NoCs through malicious software. This malicious software degrades the overall performance of the system and its services, breaches sensitive information, and can even cause failures in its components, such as routers or switches. For this reason, researchers are developing various management schemes to manage particular resources more efficiently. These schemes include the use of private keys and agreements, runtime monitoring of network traffic, and dynamic adaptability of the system to provide support against the most common attacks such as [37] denial of service attacks (DoS attacks), distributed time attacks (DTA), spoofing, tampering, repudiation, information disclosure, or privilege elevation.
- QoS: Quality of service encompasses a series of specific requirements linked to optimizing particular metrics for a given expected performance. Therefore, QoS is related to providing certain guarantees for specific requirements such as reliability, bandwidth, or latency in scenarios involving restrictions and limitations [150].
- Execution time: Many applications require performing several subprocesses simultaneously within an MPSoC interconnected by an NoC. The execution time and energy efficiency of these subprocesses are vital for real-time applications and various domains. The execution time of these subprocesses depends on the general state of the system, the critical subprocess, the available resources, and their management [40,85]. Resource management can directly influence the execution time of various applications. A way to achieve this is by employing self-awareness and monitoring-based frameworks to add adaptability to the system [66,85]. Another method can be migrating tasks to contiguous processing elements [127], or managing shared data in memory (Scratchpad-memory) [40].
- Area: The need to increase the capabilities of MPSoCs leads their components to occupy more space. However, the technological trend is to develop more powerful, smaller devices. Thus, a critical research and development objective is to keep area consumption as low as possible. Some research papers have proposed management schemes that include energy consumption, throughput, latency, and scalability thinking in area consumption.
3.1.1. NoC Management Improvements in MPSoCs
- Routing algorithm: In an NoC, a routing algorithm is a procedure whose main objective is to forward and distribute packets from source to destination through the best path available in the MPSoC [194,204]. The related works are commonly aimed at solving the usual routing protocol problems, such as deadlock, livelock, congestion, or network faults [204]. Some of these works implement modern techniques to deal with these problems, for example, by using adaptive routing to find the shortest path and preventing possible changes in the network [194], or in other cases, by using self-properties to find a path within a faulty network [195].
- Topology: An NoC topology represents the physical and logical distribution of the channels and nodes within the network, and, normally, its design has a cost-performance impact in the NoC [160]. The most common NoC topologies are mesh, torus, tree, polygon, and butterfly [190]. In this context, researchers have worked in developing new topologies or modifying existing ones to implement communication infrastructure improvements like circulant topology [203] and Butterfly-Fat-Tree topology [183] for improving fault-tolerance, honeycomb topology [160] for improving network-cost, WK-Recursive topology [192] for improving power efficiency and latency, RicoBit topology [190] for improving latency, or Spidergon topology [205] for improving structure and modularity. Also, new development includes not only 2D topologies but also 3D topologies [142,143,161,173,174,189,190].
- Buffer: NoCs use buffers to store transmitted packets for a short period of time within a router before they are processed to be forwarded. Some works have focused on improving certain aspects related to buffering, such as prioritizing flits forwarding through buffer fluidity levels awareness [10] or reducing underutilized buffers through new buffer design and switches’ operation monitoring [198].
3.1.2. Specific Awareness in MPSoCs
- Thermal-aware: Thermal-aware research is concerned with implementing techniques focused on the system not exceeding the set temperature limits while dealing with its constraints and varying processes and workloads. In addition, they involve addressing challenges immersed in temperature behavior management techniques that are related, for example, with limitations on the number of sensors that can be included in the system or with the performance impact of continuous monitoring of the temperature distribution across the chip [148].
- Energy-aware: Since one of the main goals of modern systems is to maximize battery lifetime, researchers have aimed to improve the power performance of MPSoCs. One problem is predicting the application’s behavior for adequate energy management, either by implementing known techniques or by generating new and improved ones. Consequently, some research papers have included a methodology in which the system monitors and acts on energy consumption, allowing it to improve several aspects. For example, through learning policies, the system can better respond to dynamic changes in applications [186] and to NoC processes that impact energy consumption the most [52]. Another way is by monitoring the strategies of other techniques, such as task replication, which, while improving system reliability, can also increase energy consumption too much [184].
- Reliability-aware: Within the MPSoC environment, reliability is related with the system’s ability to respond to possible failures, so the more prepared it is to resolve failures, the more reliable it becomes. Although MPSoCs are exposed to different types of faults (see Section 3.1—Fault-tolerance), research has identified three main types that affect the reliability of electronics: manufacturing defects, constant random failures, and failures due to aging of materials [197]. As a result, monitoring the system’s reliability is necessary, which consists of adequately managing the MPSoC resources, i.e., keeping the system aware of the communication infrastructure, application processes (allocation and execution of tasks), and memory performance. In this way, a reliability-aware system constantly acts at different levels to ensure specific QoS requirements.
- Traffic-aware: Traffic-aware research focuses on monitoring the amount of information exchanged through the communication infrastructure, usually an NoC (communication through routers). This runtime monitoring can be focused on specific key regions or distributed across the NoC. Traffic awareness allows the innovation and implementation of techniques applied in different communication processes, such as arbitration mechanisms that improve network latency [95] or routing algorithms that increase throughput [189].
- Congestion-aware: The congestion of the communication infrastructure of an MPSoC depends on several factors, which, in the case of NoCs, is closely related to the amount and type of traffic, latency, and network throughput. In addition, the characteristics and properties of routing and arbitration schemes play an important role in network congestion. Therefore, monitoring various metrics can improve network performance, such as leveraging information from buffers, which allows dealing with dynamic traffic loads through cognitive processes and control techniques [10]. Another improvement is identifying data flows that congest the network in certain areas or situations and subsequently avoiding them, resulting in considerable energy savings [82].
- Application-aware: Most NoC designs within MPSoCs do not consider the types of applications and their requirements [168]. This situation can degrade the performance of the entire system. Therefore, some papers have proposed strategies that involve application awareness at the network level, for example, by identifying the optimization metrics to which they are most sensitive and then classifying and treating them accordingly [164]. Another solution is monitoring their communication patterns and balancing the traffic load between resources by estimating routing demands [168]. In other cases, implementing continuous learning of application profiles allows the system to apply preventive and corrective actions to aid with QoS management [29].
- Workload-aware: The tasks of the application(s), running at any given time, define an MPSoC’s workload, making it a highly variable parameter. Generally, the NoC of the MPSoC reflects the implications resulting from workload variability, since if the NoC is unaware of these variations, it may fail to manage its resources. Therefore, workload awareness is highly beneficial and can be applied to improve network performance. For example, it can enhance routing algorithms by evenly distributing NoC traffic among active resources [179]. It can also help self-recover systems from failures by identifying free processing elements at a particular time [30] or the unpredictability of runtime workload by aiding dynamic memory management [81].
- Contention-aware: Contention-aware research involves the system being aware of the competition in the NoC to perform intercommunication between processing elements. Given the large number of processing elements in MPSoCs, there are more concurrent parallel intercommunications, so if there is no contention-free access scheme, contentions can degrade NoC performance. Consequently, considering network contentions can help achieve different optimization objectives. This type of specific awareness can be achieved through task mapping and scheduling in communication channels [106,163], and, likewise, in optical NoCs leveraging the flexibility of adaptive routing schemes [193].
- QoS-aware: QoS-aware research aims to provide information that helps appropriately manage available resources to meet the application requirements. This type of specific awareness can be implemented, for example, to achieve coordinated management involving the QoS of multiple resources within a class-of-service-based architecture [138]. Similarly, QoS monitoring allows for self-adaptive QoS management at runtime, providing better resource understanding and a reactive and proactive decision-making capability [29].
- Loss-aware: In optical NoCs, light signals usually suffer losses while propagating through the waveguides. This condition usually requires higher power injection into the laser to counteract these losses and avoid transmission errors. Generally, the power setting of transmission lasers does not consider these losses, so a system adding the awareness of them can increase communication and energy efficiency through adaptive runtime power setting [185].
- Fluidity-aware: Fluidity awareness refers to understanding the fluidity in the NoCs router buffers. Researchers implement active buffer monitoring to approximate the flit fluidity levels, which helps to improve flow and congestion control [10]. A flit is the smallest entity into which information exchanged over the network is divided. In addition, fluidity awareness allows for flow prioritization, which in turn allows for better management of network resources and prediction of dynamic traffic behavior.
4. MPSoCs, Self-Awareness, and Cyber-Physical Systems
4.1. Self-Awareness
4.2. Cyber-Physical Systems
Cyber-Physical Systems-on-Chip
4.3. Self-Aware Cyber-Physical Systems-on-Chip
Prospects, Future Development, and Challenges of SA-CPSoCs
4.4. NoCs as Self-Aware Cyber-Physical Systems
4.5. SDNoC as a Base Architecture in the Many-Core Era
4.5.1. SDNoCs as a Solution
SDNoC Architecture
5. Conclusions
Author Contributions
Funding
Data Availability Statement
Acknowledgments
Conflicts of Interest
References
- Ellinidou, S.; Sharma, G.; Dricot, J.M.; Markowitch, O. A SDN solution for system-on-chip world. In Proceedings of the 2018 5th International Conference on Software Defined Systems (SDS 2018), Barcelona, Spain, 23–26 April 2018; pp. 14–19. [Google Scholar] [CrossRef]
- Gomez-Rodríguez, J.; Sandoval-Arechiga, R.; Ibarra-Delgado, S.; Rodriguez-Abdala, V.I.; Vazquez-Avila, J.L.; Parra-Michel, R. A Survey of Software-Defined Networks-on-Chip: Motivations, Challenges, and Opportunities. Micromachines 2021, 12, 183. [Google Scholar] [CrossRef] [PubMed]
- Jeon, M.; Kim, N.; Jang, Y.; Lee, B.D. An efficient network resource management in SDN for cloud services. Symmetry 2020, 12, 1556. [Google Scholar] [CrossRef]
- Scionti, A.; Mazumdar, S.; Portero, A. Towards a scalable software defined network-on-chip for next generation cloud. Sensors 2018, 18, 2330. [Google Scholar] [CrossRef] [PubMed]
- de Dinechin, B.D.; Ayrignac, R.; Beaucamps, P.E.; Couvert, P.; Ganne, B.; de Massas, P.G.; Jacquet, F.; Jones, S.; Chaisemartin, N.M.; Riss, F.; et al. A clustered manycore processor architecture for embedded and accelerated applications. In Proceedings of the 2013 IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA, 10–12 September 2013; pp. 1–6. [Google Scholar] [CrossRef]
- Olofsson, A. Epiphany-V: A 1024 processor 64-bit RISC System-On-Chip. arXiv 2016. [Google Scholar] [CrossRef]
- Zheng, F.; Li, H.L.; Lv, H.; Guo, F.; Xu, X.H.; Xie, X.H. Cooperative Computing Techniques for a Deeply Fused and Heterogeneous Many-Core Processor Architecture. J. Comput. Sci. Technol. 2015, 30, 145–162. [Google Scholar] [CrossRef]
- Lee, H.G.; Chang, N.; Ogras, U.Y.; Marculescu, R. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. Assoc. Comput. Mach. (ACM) 2007, 12, 23. [Google Scholar] [CrossRef]
- Nunes, F.L.D.; Kreutz, M.E. Using SDN Strategies to Improve Resource Management on a NoC. In Proceedings of the IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC), Cuzco, Peru, 6–9 October 2019; pp. 224–225. [Google Scholar] [CrossRef]
- Tsai, W.C.; Chen, S.J.; Hu, Y.H.; lun Chiang, M. Network-Cognitive Traffic Control: A Fluidity-Aware On-Chip Communication. Electronics 2020, 9, 1667. [Google Scholar] [CrossRef]
- Dinakarrao, S.M.P. Self-aware power management for multi-core microprocessors. Sustain. Comput. Inform. Syst. 2021, 29, 100480. [Google Scholar] [CrossRef]
- Bellman, K.; Landauer, C.; Dutt, N.; Esterle, L.; Herkersdorf, A.; Jantsch, A.; TaheriNejad, N.; Lewis, P.R.; Platzner, M.; Tammemäe, K. Self-aware Cyber-Physical Systems. ACM Trans. Cyber-Phys. Syst. 2020, 4, 38. [Google Scholar] [CrossRef]
- Du, B.Z.; Du, B.Z.; Guo, Q.; Zhao, Y.; Zhao, Y.; Zhi, T.; Zhi, T.; Chen, Y.; Xu, Z. Self-Aware Neural Network Systems: A Survey and New Perspective. Proc. IEEE 2020, 108, 1047–1067. [Google Scholar] [CrossRef]
- Ruaro, M.; Moraes, F.G. Multiple-objective Management based on a Distributed SDN Architecture for Many-cores. In Proceedings of the 2020 33rd Symposium on Integrated Circuits and Systems Design (SBCCI), Campinas, Brazil, 24–28 August 2020; pp. 1–6. [Google Scholar] [CrossRef]
- Fochi, V.; Caimi, L.L.; Silva, M.H.; Moraes, F.G. System management recovery in NoC-based many-core systems. Analog Integr. Circuits Signal Process. 2021, 106, 85–98. [Google Scholar] [CrossRef]
- Ou, J.; Prasanna, V.K. A cooperative management scheme for power efficient implementations of real-time operating systems on soft processors. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2008, 16, 45–56. [Google Scholar] [CrossRef]
- Kornaros, G.; Pnevmatikatos, D. A survey and taxonomy of on-chip monitoring of multicore systems-on-chip. ACM Trans. Des. Autom. Electron. Syst. 2013, 18, 17. [Google Scholar] [CrossRef]
- Fattah, M.; Daneshtalab, M.; Liljeberg, P.; Plosila, J. Exploration of MPSoC monitoring and management systems. In Proceedings of the 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), Montpellier, France, 20–22 June 2011. [Google Scholar] [CrossRef]
- Kim, B.; Kim, Y.; Lee, D.; Tak, S. A reconfigurable NoC platform incorporating real-time task management technique for H/W-S/W codesign of network protocols. In Proceedings of the 2008 International Symposium on Ubiquitous Multimedia Computing, Hobart, TAS, Australia, 13–15 October 2008; pp. 238–243. [Google Scholar] [CrossRef]
- Mandal, S.K.; Ogras, U.Y.; Doppa, J.R.; Ayoub, R.Z.; Kishinevsky, M.; Pande, P.P. Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs. arXiv 2020, arXiv:2008.09728. [Google Scholar]
- Ruaro, M.; Caimi, L.L.; Moraes, F.G. A Systemic and Secure SDN Framework for NoC-Based Many-Cores. IEEE Access 2020, 8, 105997–106008. [Google Scholar] [CrossRef]
- Yang, L.; Liu, W.; Jiang, W.; Li, M.; Yi, J.; Sha, E.H.M. FoToNoC: A hierarchical management strategy based on folded lorus-like Network-on-Chip for dark silicon many-core systems. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), Macao, China, 25–28 January 2016; pp. 725–730. [Google Scholar] [CrossRef]
- Berestizshevsky, K.; Even, G.; Fais, Y.; Ostrometzky, J. SDNoC: Software defined network on a chip. Microprocess. Microsyst. 2017, 50, 138–153. [Google Scholar] [CrossRef]
- Castilhos, G.; Mandelli, M.; Madalozzo, G.; Moraes, F. Distributed resource management in NoC-based MPSoCs with dynamic cluster sizes. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Natal, Brazil, 5–7 August 2013; pp. 153–158. [Google Scholar] [CrossRef]
- Braak, T.D.T.; Burgess, S.T.; Hurskainen, H.; Kerkhoff, H.G.; Vermeulen, B.; Zhang, X. On-line dependability enhancement of multiprocessor SoCs by resource management. In Proceedings of the 2010 International Symposium on System-on-Chip, Tampere, Finland, 29–30 September 2010; pp. 103–110. [Google Scholar] [CrossRef]
- Wu, Z.; Fu, F.; Lu, Y.; Wang, J. A role-changeable fault-tolerant management strategy towards resilient NoC-based manycore systems. Microelectron. J. 2015, 46, 1371–1379. [Google Scholar] [CrossRef]
- Götzinger, M.; Rahmani, A.M.; Pongratz, M.; Liljeberg, P.; Jantsch, A.; Tenhunen, H. The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems. In Proceedings of the IEEE 10th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip (MCSoC), Lyon, France, 21–23 September 2016; pp. 53–60. [Google Scholar] [CrossRef]
- Bragg, G.M.; Leech, C.; Balsamo, D.; Davis, J.J.; Wachter, E.; Merrett, G.V.; Constantinides, G.A.; Al-hashimi, B.M. An Application- and Platform-agnostic Control and Monitoring Framework for Multicore Systems. In Proceedings of the 8th International Joint Conference on Pervasive and Embedded Computing and Communication Systems (PECCS), Porto, Portugal, 29–30 July 2018; pp. 57–66. [Google Scholar]
- Ruaro, M.; Jantsch, A.; Moraes, F.G. Self-adaptive QoS management of computation and communication resources in many-core SOCs. ACM Trans. Embed. Comput. Syst. 2019, 18, 37. [Google Scholar] [CrossRef]
- Tsoutsouras, V.; Masouros, D.; Xydis, S.; Soudris, D. SoftRM Self-Organized Fault-Tolerant ResourceManagement for Failure Detection and Recovery in NoC Based Many-Cores. ACM Trans. Embed. Comput. Syst. 2017, 16, 144. [Google Scholar] [CrossRef]
- Faruque, M.A.; Jahn, J.; Ebi, T.; Henkel, J. Runtime thermal management using software agents for multi- and many-core architectures. IEEE Des. Test Comput. 2010, 27, 58–68. [Google Scholar] [CrossRef]
- Wang, J.; Feng, Q.; Wang, Y.; Dou, Q.; Dou, W. A hybrid hierarchical software-defined photonic on-chip network. In Proceedings of the 2016 International Conference on Network and Information Systems for Computers (ICNISC), Wuhan, China, 15–17 April 2016; pp. 133–137. [Google Scholar] [CrossRef]
- Fathi, A.; Kia, K. A Centralized Controller as an Approach in Designing NoC. Int. J. Mod. Educ. Comput. Sci. 2017, 9, 60–67. [Google Scholar] [CrossRef]
- Wachter, E.; Caimi, L.L.; Fochi, V.; Munhoz, D.; Moraes, F.G. BrNoC: A broadcast NoC for control messages in many-core systems. Microelectron. J. 2017, 68, 69–77. [Google Scholar] [CrossRef]
- Delgado, S.I.; Arechiga, R.S.; Brox, M.; Ortiz, M.A. Software defined network controller: A neat solution administration for reconfigurable multi-core NoC. In Proceedings of the 2017 International Conference on Reconfigurable Computing and FPGAs (ReConFig), Cancun, Mexico, 4–6 December 2018; pp. 1–4. [Google Scholar] [CrossRef]
- Ellinidou, S.; Sharma, G.; Kontogiannis, S.; Markowitch, O.; Dricot, J.M.; Gogniat, G. MicroLET: A New SDNoC-Based Communication Protocol for ChipLET-Based Systems. In Proceedings of the 2019 22nd Euromicro Conference on Digital System Design (DSD), Kallithea, Greece, 28–30 August 2019; pp. 61–68. [Google Scholar] [CrossRef]
- Ellinidou, S.; Sharma, G.; Rigas, T.; Vanspouwen, T.; Markowitch, O.; Dricot, J.M.; Schneider, D. SSPSoC: A Secure SDN-Based Protocol over MPSoC. Secur. Commun. Netw. 2019, 2019, 4869167. [Google Scholar] [CrossRef]
- del Mestre Martins, A.L.; da Silva, A.H.L.; Rahmani, A.M.; Dutt, N.; Moraes, F.G. Hierarchical adaptive Multi-objective resource management for many-core systems. J. Syst. Archit. 2019, 97, 416–427. [Google Scholar] [CrossRef]
- Madden, K.; Harkin, J.; McDaid, L.; Nugent, C. Adding Security to Networks-on-Chip using Neural Networks. In Proceedings of the 2018 IEEE Symposium Series on Computational Intelligence (SSCI), Bangalore, India, 18–21 November 2019; pp. 1299–1306. [Google Scholar] [CrossRef]
- Venkataramani, V.; Chan, M.C.; Mitra, T. Scratchpad-memory management for multi-threaded applications on many-core architectures. ACM Trans. Embed. Comput. Syst. 2019, 18, 10. [Google Scholar] [CrossRef]
- Sharma, G.; Bousdras, G.; Ellinidou, S.; Markowitch, O.; Dricot, J.M.; Milojevic, D. Exploring the security landscape: NoC-based MPSoC to Cloud-of-Chips. Microprocess. Microsyst. 2021, 84, 103963. [Google Scholar] [CrossRef]
- Kobbe, S.; Bauer, L.; Lohmann, D.; Schröder-Preikschat, W.; Henkel, J. DistRM: Distributed resource management for on-chip many-core systems. In Proceedings of the 2011 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Taipei, Taiwan, 9–14 October 2011; pp. 119–128. [Google Scholar] [CrossRef]
- Jafri, S.M.; Guang, L.; Jantsch, A.; Paul, K.; Hemani, A.; Tenhunen, H. Self-adaptive NoC power management with dual-level agents: Architecture and implementation. In Proceedings of the 2nd International Conference on Pervasive Embedded Computing and Communication Systems (PECCS), Rome, Italy, 24–26 February 2012; pp. 450–458. [Google Scholar] [CrossRef]
- Scionti, A.; Mazumdar, S.; Portero, A. Software defined Network-on-Chip for scalable CMPs. In Proceedings of the 2016 International Conference on High Performance Computing and Simulation (HPCS), Innsbruck, Austria, 18–22 July 2016; pp. 112–115. [Google Scholar] [CrossRef]
- Sepulveda, J.; Flórez, D.; Immler, V.; Gogniat, G.; Sigl, G. Hierarchical group-key management for NoC-based MPSoCs protection. J. Integr. Circuits Syst. 2016, 11, 38–48. [Google Scholar] [CrossRef]
- Martins, A.L.; Sant’Ana, A.C.; Moraes, F.G. Runtime energy management for many-core systems. In Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS), Monte Carlo, Monaco, 11–14 December 2016; pp. 380–383. [Google Scholar] [CrossRef]
- Fochi, V.; Caimi, L.L.; Silva, M.H.D.; Moraes, F.G. Fault-Tolerance at the Management Level in Many-Core Systems. In Proceedings of the 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI), Bento Gonçalves, Brazil, 27–31 August 2018; pp. 1–6. [Google Scholar] [CrossRef]
- Domingues, A.R.; Hamerski, J.C.; Amory, A. Broker Fault Recovery for a Multiprocessor System-an-Chip Middleware. In Proceedings of the 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI), Bento Gonçalves, Brazil, 27–31 August 2018; pp. 1–6. [Google Scholar] [CrossRef]
- Umoh, I.J.; Marufat, G.O.; Basira, Y.; Abdulfatai, A.D.; Muyideen, M.O. BANM: A Distributed Network Manager Framework for Software Defined Network-On-Chip (SDNoC). Covenant J. Inform. Commun. Technol. 2019, 7, 54–65. [Google Scholar]
- Ruaro, M.; Velloso, N.; Jantsch, A.; Moraes, F.G. Distributed SDN architecture for NoC-based many-core SoCs. In Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), New York, NY, USA, 17–18 October 2019; pp. 1–8. [Google Scholar] [CrossRef]
- del Mestre Martins, A.L.; Garibotti, R.; Dutt, N.; Moraes, F.G. The power impact of hardware and software actuators on self-adaptable many-core systems. J. Syst. Archit. 2019, 97, 42–53. [Google Scholar] [CrossRef]
- Fettes, Q.; Clark, M.; Bunescu, R.; Karanth, A.; Louri, A. Dynamic Voltage and Frequency Scaling in NoCs with Supervised and Reinforcement Learning Techniques. Computer 2019, 52, 4–5. [Google Scholar] [CrossRef]
- Gregorek, D.; Rust, J.; Garcia-Ortiz, A. DRACON: A Dedicated Hardware Infrastructure for Scalable Run-Time Management on Many-Core Systems. IEEE Access 2019, 7, 121931–121948. [Google Scholar] [CrossRef]
- Penna, P.H.; Souto, J.V.; Uller, J.F.; Castro, M.; Freitas, H.; Méhaut, J.F. Inter-kernel communication facility of a distributed operating system for NoC-based lightweight manycores. J. Parallel Distrib. Comput. 2021, 154, 1–15. [Google Scholar] [CrossRef]
- Ruaro, M.; Sant’ana, A.; Jantsch, A.; Moraes, F.G. Modular and Distributed Management of Many-Core SoCs. ACM Trans. Comput. Syst. 2020, 38, 1. [Google Scholar] [CrossRef]
- Evain, S.; Diguet, J.P.; Houzet, D. NoC design flow for TDMA and QoS management in a GALS context. Eurasip J. Embed. Syst. 2006, 2006, 063656. [Google Scholar] [CrossRef]
- Dutt, N.; Kurdahi, F.J.; Ernst, R.; Herkersdorf, A. Conquering MPSoC complexity with principles of a self-aware information processing factory. In Proceedings of the 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Pittsburgh, PA, USA, 1–7 October 2016; pp. 1–4. [Google Scholar] [CrossRef]
- Beigné, E.; Clermidy, F.; Durupt, J.; Lhermet, H.; Miermont, S.; Thonnart, Y.; Xuan, T.T.; Valentian, A.; Varreau, D.; Vivet, P. An asynchronous power aware and adaptive NoC based circuit. In Proceedings of the 2008 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 18–20 June 2008; pp. 190–191. [Google Scholar] [CrossRef]
- Yeo, I.; Liu, C.C.; Kim, E.J. Predictive dynamic thermal management for multicore systems. In Proceedings of the 2008 45th ACM/IEEE Design Automation Conference, Anaheim, CA, USA, 8–13 June 2008; pp. 734–739. [Google Scholar] [CrossRef]
- Powell, M.D.; Gomaa, M.; Vijaykumar, T.N. Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system. Oper. Syst. Rev. (ACM) 2004, 38, 260–270. [Google Scholar] [CrossRef]
- Dalzotto, A.E.; da Silva Borges, C.; Ruaro, M.; Moraes, F.G. Non-intrusive Monitoring Framework for NoC-based Many-Cores. In Proceedings of the 2022 XII Brazilian Symposium on Computing Systems Engineering (SBESC), Fortaleza, Brazil, 21–24 November 2022; pp. 1–7. [Google Scholar] [CrossRef]
- Balakrishnan, M.T.; Venkatesh, T.; Bhaskar, A.V. Design and implementation of congestion aware router for network-on-chip. Integration 2023, 88, 43–57. [Google Scholar] [CrossRef]
- Avasare, P.; Nollet, V.; y Mignolet, J.; Verkest, D.; Corporaal, H. Centralized End-to-End Flow Control in a Best-Effort Network-on-Chip. In Proceedings of the 5th ACM international conference on Embedded software, Jersey City, NJ, USA, 18–22 September 2005; pp. 17–20. [Google Scholar] [CrossRef]
- Merkel, A.; Weissel, A. Event-Driven Thermal Management in SMP Systems. In Proceedings of the Second Workshop on Temperature-Aware Computer Systems (TACS’05), Madison, WI, USA, 4–8 June 2005; pp. 1–10. [Google Scholar]
- Nollet, V.; Marescaux, T.; Avasare, P.; Verkest, D.; Mignolet, J.Y. Centralized run-time resource management in a network-on-chip containing reconfigurable hardware tiles. In Proceedings of the Design, Automation and Test in Europe, Munich, Germany, 7–11 March 2005; pp. 234–239. [Google Scholar] [CrossRef]
- Brand, J.W.V.D.; Ciordas, C.; Goossens, K.; Basten, T. Congestion-controlled best-effort communication for networks-on-chip. In Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exhibition, Nice, France, 16–20 April 2007; pp. 948–953. [Google Scholar] [CrossRef]
- Wang, Y.; Ma, K.; Wang, X. Temperature-constrained power control for chip multiprocessors with online model estimation. ACM SIGARCH Comput. Archit. News 2009, 37, 314–324. [Google Scholar] [CrossRef]
- Cho, S.; Demetriades, S. MAESTRO: Orchestrating predictive resource management in future multicore systems. In Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), San Diego, CA, USA, 6–9 June 2011; pp. 1–8. [Google Scholar] [CrossRef]
- Braak, T.D.T.; Toersche, H.A.; Kokkeler, A.B.; Smit, G.J. Adaptive resource allocation for streaming applications. In Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Samos, Greece, 18–21 July 2011; pp. 388–395. [Google Scholar] [CrossRef]
- Wang, X.; Ma, K.; Wang, Y. Adaptive power control with online model estimation for chip multiprocessors. IEEE Trans. Parallel Distrib. Syst. 2011, 22, 1681–1696. [Google Scholar] [CrossRef]
- Tedesco, L.P.; Rosa, T.; Clermidy, F.; Calazans, N.; Moraes, F.G. Implementation and evaluation of a congestion aware routing algorithm for networks-on-chip. In Proceedings of the 23rd Symposium on Integrated Circuits and System Design, São Paulo, Brazil, 6–9 September 2010; pp. 91–96. [Google Scholar] [CrossRef]
- Meloni, P.; Tuveri, G.; Raffo, L.; Cannella, E.; Stefanov, T.; Derin, O.; Fiorin, L.; Sami, M. System adaptivity and fault-tolerance in NoC-based MPSoCs: The MADNESS project approach. In Proceedings of the 2012 15th Euromicro Conference on Digital System Design, Cesme, Turkey, 5–8 September 2012; pp. 517–524. [Google Scholar] [CrossRef]
- Kornaros, G.; Pnevmatikatos, D. Real-time monitoring of multicore SoCs through specialized hardware agents on NoC network interfaces. In Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops and PhD Forum, Shanghai, China, 21–25 May 2012; pp. 248–255. [Google Scholar] [CrossRef]
- Bolchini, C.; Carminati, M.; Miele, A. Self-adaptive fault tolerance in multi-/many-core systems. J. Electron. Test. Theory Appl. (JETTA) 2013, 29, 159–175. [Google Scholar] [CrossRef]
- Hoffmann, H.; Maggio, M.; Santambrogio, M.D.; Leva, A.; Agarwal, A. A generalized software framework for accurate and efficient management of performance goals. In Proceedings of the 2013 International Conference on Embedded Software (EMSOFT), Montreal, QC, Canada, 29 September–4 October 2013; pp. 1–10. [Google Scholar] [CrossRef]
- Gorski, P.; Timmermann, D. Centralized traffic monitoring for online-resizable clusters in Networks-on-Chip. In Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), Darmstadt, Germany, 10–12 July 2013; pp. 1–8. [Google Scholar] [CrossRef]
- Gorski, P.; Wegner, T.; Timmermann, D. Centralized and Software-Based Run-Time Traffic Management Inside Configurable Regions of Interest in Mesh-Based Networks-on-Chip. In Proceedings of the 11th International Symposium on Applied Reconfigurable Computing (ARC), Bochum, Germany, 13–17 April 2015; pp. 179–190. [Google Scholar] [CrossRef]
- Paul, J.; Oechslein, B.; Erhardt, C.; Schedel, J.; Kröhnert, M.; Lohmann, D.; Stechele, W.; Asfour, T.; Schröder-Preikschat, W. Self-adaptive corner detection on MPSoC through resource-aware programming. J. Syst. Archit. 2015, 61, 520–530. [Google Scholar] [CrossRef]
- Sarma, S.; Dutt, N.; Gupta, P.; Venkatasubramanian, N.; Nicolau, A. CyberPhysical-System-On-Chip (CPSoC): A self-aware MPSoC paradigm with cross-layer virtual sensing and actuation. In Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition (DATE), Grenoble, France, 9–13 March 2015; pp. 625–628. [Google Scholar] [CrossRef]
- Sandoval-Arechiga, R.; Parra-Michel, R.; Vazquez-Avila, J.L.; Flores-Troncoso, J.; Ibarra-Delgado, S. Software defined networks-on-chip for multi/many-core systems: A performance evaluation. In Proceedings of the 2016 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), Santa Clara, CA, USA, 17–18 March 2016; pp. 129–130. [Google Scholar] [CrossRef]
- Tajik, H.; Donyanavard, B.; Dutt, N.; Jahn, J.; Henkel, J. SPMPool: Runtime SPM management for memory-intensive applications in embedded many-cores. ACM Trans. Embed. Comput. Syst. 2016, 16, 25. [Google Scholar] [CrossRef]
- Escamilla, J.V.; Flich, J.; Casu, M.R. Increasing the Efficiency of Latency-Driven DVFS with a Smart NoC Congestion Management Strategy. In Proceedings of the 2016 IEEE 10th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip (MCSOC), Lyon, France, 21–23 September 2016; pp. 241–248. [Google Scholar] [CrossRef]
- Ruaro, M.; Medina, H.M.; Moraes, F.G. SDN-Based Circuit-Switching for Many-Cores. In Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Bochum, Germany, 3–5 July 2017; pp. 385–390. [Google Scholar] [CrossRef]
- Caimi, L.L.; Fochi, V.; Wachter, E.; Munhoz, D.; Moraes, F.G. Secure admission and execution of applications in many-core systems. In Proceedings of the 2017 30th Symposium on Integrated Circuits and Systems Design (SBCCI), Fortaleza, Brazil, 28 August–1 September 2017; pp. 65–71. [Google Scholar] [CrossRef]
- Reis, J.G.; Fröhlich, A.A. OS support for adaptive components in self-aware systems. Oper. Syst. Rev. (ACM) 2017, 51, 101–112. [Google Scholar] [CrossRef]
- Rahmani, A.M.; Haghbayan, M.H.; Miele, A.; Liljeberg, P.; Jantsch, A.; Tenhunen, H. Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 427–440. [Google Scholar] [CrossRef]
- Ruaro, M.; Medina, H.M.; Amory, A.M.; Moraes, F.G. Software-Defined Networking Architecture for NoC-based Many-Cores. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–5. [Google Scholar] [CrossRef]
- Saeed, A.; Ahmadinia, A.; Just, M. Hardware-assisted secure communication in embedded and multi-core computing systems. Computers 2018, 7, 31. [Google Scholar] [CrossRef]
- Reza, M.F.; Le, T.T.; De, B.; Bayoumi, M.; Zhao, D. Neuro-NoC: Energy Optimization in Heterogeneous Many-Core NoC using Neural Networks in Dark Silicon Era. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–5. [Google Scholar] [CrossRef]
- Kanduri, A.; Miele, A.; Rahmani, A.M.; Liljeberg, P.; Bolchini, C.; Dutt, N. Approximation-aware coordinated power/performance management for heterogeneous multi-cores. In Proceedings of the 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 24–28 June 2018; pp. 1–6. [Google Scholar] [CrossRef]
- Kostrzewa, A.; Tobuschat, S.; Ernst, R. Self-Aware Network-on-Chip Control in Real-Time Systems. IEEE Des. Test 2018, 35, 19–27. [Google Scholar] [CrossRef]
- Moazzemi, K.; Kanduri, A.; Juhasz, D.; Miele, A.; Rahmani, A.M.; Liljeberg, P.; Jantsch, A.; Dutt, N. Trends in on-Chip Dynamic Resource Management. In Proceedings of the 2018 21st Euromicro Conference on Digital System Design (DSD), Prague, Czech Republic, 29–31 August 2018; pp. 62–69. [Google Scholar] [CrossRef]
- Rahmani, A.M.; Jantsch, A.; Dutt, N. HDGM: Hierarchical Dynamic Goal Management for Many-Core Resource Allocation. IEEE Embed. Syst. Lett. 2018, 10, 61–64. [Google Scholar] [CrossRef]
- Ruaro, M.; Caimi, L.L.; Moraes, F.G. SDN-based Secure Application Admission and Execution for Many-cores. IEEE Access 2020, 8, 177296–177306. [Google Scholar] [CrossRef]
- Baharloo, M.; Khonsari, A.; Dolati, M.; Shiri, P.; Ebrahimi, M.; Rahmati, D. Traffic-aware performance optimization in Real-time wireless network on chip. Nano Commun. Netw. 2020, 26, 100321. [Google Scholar] [CrossRef]
- Haghbayan, M.H.; Miele, A.; Zouv, Z.; Tenhunen, H.; Plosila, J. Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip. In Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition (DATE), Grenoble, France, 9–13 March 2020; pp. 1229–1234. [Google Scholar] [CrossRef]
- Maurer, F.; Donyanavard, B.; Rahmani, A.M.; Dutt, N.; Herkersdorf, A. Emergent Control of MPSoC Operation by a Hierarchical Supervisor/Reinforcement Learning Approach. In Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition (DATE), Grenoble, France, 9–13 March 2020; pp. 1562–1567. [Google Scholar] [CrossRef]
- Rupanetti, D.; Salamy, H. Thermal and energy-aware utilisation management on MPSoC architectures. Int. J. Parallel Emergent Distrib. Syst. 2021, 36, 449–469. [Google Scholar] [CrossRef]
- Shang, L.; Peh, L.; Kumar, A.; Jha, N. Thermal Modeling, Characterization and Management of On-Chip Networks. In Proceedings of the 37th International Symposium on Microarchitecture (MICRO-37’04), Portland, OR, USA, 4–8 December 2004; pp. 67–78. [Google Scholar] [CrossRef]
- Wu, Q.; Juang, P.; Martonosi, M.; Clark, D.W. Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture, San Francisco, CA, USA, 12–16 February 2005; pp. 178–189. [Google Scholar] [CrossRef]
- Guang, L.; Nigussie, E.; Rantala, P.; Isoaho, J.; Tenhunen, H. Hierarchical agent monitoring design approach towards self-aware parallel systems-on-chip. Trans. Embed. Comput. Syst. 2010, 9, 25. [Google Scholar] [CrossRef]
- Carara, E.; Almeida, G.M.; Sassatelli, G.; Moraes, F.G. Achieving composability in NoC-based MPSoCs through QoS management at software level. In Proceedings of the 2011 Design, Automation and Test in Europe, Grenoble, France, 14–18 March 2011; pp. 407–412. [Google Scholar] [CrossRef]
- Kornaros, G.; Pnevmatikatos, D. Hardware-assisted dynamic power and thermal management in multi-core SoCs. In Proceedings of the 21st Edition of the Great Lakes Symposium on Great Lakes Symposium on VLSI, GLSVLSI, Lausanne, Switzerland, 2–4 May 2011; pp. 115–120. [Google Scholar] [CrossRef]
- Gorski, P.; Cornelius, C.; Timmermann, D.; Kühn, V. RedNoCs: A Runtime Configurable Solution for Cluster-based and Multi-objective System Management in Networks-on-Chip. In Proceedings of the Eighth International Conference on Systems (ICONS), Seville, Spain, 27 January–1 February 2013; pp. 192–201. [Google Scholar]
- Cemin, D.; Götz, M.; Pereira, C.E. Dynamically reconfigurable hardware/software mobile agents. Des. Autom. Embed. Syst. 2014, 18, 39–60. [Google Scholar] [CrossRef]
- Han, J.J.; Lin, M.; Zhu, D.; Yang, L.T. Contention-aware energy management scheme for NoC-based multicore real-time systems. IEEE Trans. Parallel Distrib. Syst. 2015, 26, 691–701. [Google Scholar] [CrossRef]
- Sametriya, D.P.; Vasavada, N.M. HC-CPSoC: Hybrid cluster NoC topology for CPSoC. In Proceedings of the 2016 International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET), Chennai, India, 23–25 March 2016; pp. 240–243. [Google Scholar] [CrossRef]
- Tsoutsouras, V.; Anagnostopoulos, I.; Masouros, D.; Soudris, D. A Hierarchical Distributed Runtime Resource Management Scheme for NoC-Based Many-Cores. ACM Trans. Embed. Comput. Syst. 2018, 17, 65. [Google Scholar] [CrossRef]
- Song, Y.; Alavoine, O.; Lin, B. A self-aware resource management framework for heterogeneous multicore SoCs with diverse QoS targets. ACM Trans. Archit. Code Optim. 2019, 16, 16. [Google Scholar] [CrossRef]
- Azad, S.P.; Jervan, G.; Sepulveda, J. Dynamic and Distributed Security Management for NoC Based MPSoCs. In Lecture Notes in Computer Science, Proceedings of the ICCS 2019: 19th International Conference, Faro, Portugal, 12–14 June 2019; Springer: Berlin/Heidelberg, Germany; pp. 649–662. [CrossRef]
- Silva, A.; Weber, I.; Martins, A.L.D.M.; Moraes, F.G. Reliability Assessment of Many-Core Dynamic Thermal Management. In Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 27 May–1 June 2022; pp. 1590–1594. [Google Scholar] [CrossRef]
- Mohammed, M.S.; Al-Kubati, A.A.; Paraman, N.; Rahman, A.A.H.A.; Marsono, M.N. Dtapo: Dynamic thermal-aware performance optimization for dark silicon many-core systems. Electronics 2020, 9, 1980. [Google Scholar] [CrossRef]
- Wachter, E.W.; Kasap, S.; Zhai, X.; Ehsan, S.; McDonald-Maier, K. A Framework and Protocol for Dynamic Management of Fault Tolerant Systems in Harsh Environments. In Proceedings of the 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS), Napoli, Italy, 13–15 July 2020; pp. 1–6. [Google Scholar] [CrossRef]
- Sartor, A.L.; Krishnakumar, A.; Arda, S.E.; Ogras, U.Y.; Marculescu, R. HiLITE: Hierarchical and Lightweight Imitation Learning for Power Management of Embedded SoCs. IEEE Comput. Archit. Lett. 2020, 19, 63–67. [Google Scholar] [CrossRef]
- Faruque, M.A.A.; Ebi, T.; Henkel, J. Run-time adaptive on-chip communication scheme. In Proceedings of the 2007 IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 4–8 November 2007; pp. 26–31. [Google Scholar] [CrossRef]
- Motakis, A.; Kornaros, G.; Coppola, M. Dynamic resource management in modern multicore SoCs by exposing NoC services. In Proceedings of the 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), Montpellier, France, 20–22 June 2011; pp. 1–7. [Google Scholar] [CrossRef]
- Fochi, V.; Caimi, L.L.; Ruaro, M.; Wachter, E.; Moraes, F.G. System management recovery protocol for MPSoCs. In Proceedings of the 2017 30th IEEE International System-on-Chip Conference (SOCC), Munich, Germany, 5–8 September 2017; pp. 369–374. [Google Scholar] [CrossRef]
- Kanduri, A.; Haghbayan, M.H.; Rahmani, A.M.; Liljeberg, P.; Jantsch, A.; Tenhunen, H.; Dutt, N. Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 2749–2762. [Google Scholar] [CrossRef]
- Rambo, E.A.; Donyanavard, B.; Seo, M.; Maurer, F.; Kadeed, T.M.; Melo, C.B.D.; Maity, B.; Surhonne, A.; Herkersdorf, A.; Kurdahi, F.; et al. The Self-Aware Information Processing Factory Paradigm for Mixed-Critical Multiprocessing. IEEE Trans. Emerg. Top. Comput. 2020, 10, 250–266. [Google Scholar] [CrossRef]
- Navas, B.; Sander, I.; Oberg, J. Towards cognitive reconfigurable hardware: Self-Aware learning in RTR fault-Tolerant SoCs. In Proceedings of the 2015 10th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), Bremen, Germany, 29 June–1 July 2015; pp. 1–8. [Google Scholar] [CrossRef]
- Azad, S.P.; Niazmand, B.; Janson, K.; George, N.; Oyeniran, A.S.; Putkaradze, T.; Kaur, A.; Raik, J.; Jervan, G.; Ubar, R.; et al. From online fault detection to fault management in Network-on-Chips: A ground-up approach. In Proceedings of the 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Dresden, Germany, 19–21 April 2017; pp. 48–53. [Google Scholar] [CrossRef]
- Bellosa, F.; Weissel, A. Event-driven energy accounting for dynamic thermal management. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP), New Orleans, LA, USA, 27 September 2003; pp. 1–10. [Google Scholar]
- Isci, C.; Contreras, G.; Martonosi, M. Live, runtime phase monitoring and prediction on real systems with application to dynamic power management. In Proceedings of the 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Orlando, FL, USA, 9–13 December 2006; pp. 359–370. [Google Scholar] [CrossRef]
- Coskun, A.K.; Rosing, T.S.; Whisnant, K. Temperature aware task scheduling in MPSoCs. In Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exhibition, Nice, France, 16–20 April 2007; pp. 1659–1664. [Google Scholar] [CrossRef]
- Zhou, X.; Yang, J.; Chrobak, M.; Zhang, Y. Performance-aware thermal management via task scheduling. Trans. Archit. Code Optim. 2010, 7, 5. [Google Scholar] [CrossRef]
- Salami, B.; Noori, H.; Mehdipour, F.; Baharani, M. Physical-aware predictive dynamic thermal management of multi-core processors. J. Parallel Distrib. Comput. 2016, 95, 42–56. [Google Scholar] [CrossRef]
- Ng, J.; Wang, X.; Singh, A.K.; Mak, T. Defragmentation for Efficient Runtime Resource Management in NoC-Based Many-Core Systems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 3359–3372. [Google Scholar] [CrossRef]
- Kadri, N.; Chenine, A.; Laib, Z.; Koudil, M. Reliability-aware intelligent mapping based on reinforcement learning for networks-on-chips. J. Supercomput. 2022, 78, 18153–18188. [Google Scholar] [CrossRef]
- Najibi, H.; Levisse, A.; Ansaloni, G.; Zapater, M.; Vasic, M.; Atienza, D. Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated SC Converters. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2023, 42, 2–15. [Google Scholar] [CrossRef]
- Haghbayan, H.; Miele, A.; Mutlu, O.; Plosila, J. Run-time Resource Management in CMPs Handling Multiple Aging Mechanisms. IEEE Trans. Comput. 2023, 72, 2872–2887. [Google Scholar] [CrossRef]
- Nollet, V.; Marescaux, T.; Verkest, D. Operating-system controlled network on chip. In Proceedings of the 41st Annual Design Automation Conference, San Diego, CA, USA, 7–11 June 2004; pp. 256–259. [Google Scholar] [CrossRef]
- Wisniewski, R.W.; Sweeney, P.F.; Sudeep, K.; Hauswirth, M. Performance and environment monitoring for whole-system characterization and optimization. In Proceedings of the Conference on Power/Performance Interaction with Architecture, Circuits, and Compilers, Yorktown Heights, NY, USA, October 2004; pp. 15–24. [Google Scholar]
- Caşcaval, C.; Duesterwald, E.; Sweeney, P.F.; Wisniewski, R.W. Performance and environment monitoring for continuous program optimization. IBM J. Res. Dev. 2006, 50, 239–248. [Google Scholar] [CrossRef]
- Dang, K.N.; Meyer, M.; Okuyama, Y.; Abdallah, A.B. A low-overhead soft–hard fault-tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems. J. Supercomput. 2017, 73, 2705–2729. [Google Scholar] [CrossRef]
- Chaves, C.G.; Azad, S.P.; Hollstein, T.; Sepúlveda, J. DoS attack detection and path collision localization in NoC-based MpsoC architectures. J. Low Power Electron. Appl. 2019, 9, 7. [Google Scholar] [CrossRef]
- Maity, B.; Donyanavard, B.; Dutt, N. Self-aware Memory Management for Emerging Energy-efficient Architectures. In Proceedings of the 2020 11th International Green and Sustainable Computing Workshops (IGSC), Pullman, WA, USA, 19–22 October 2020. [Google Scholar] [CrossRef]
- Rantala, P.; Isoaho, J.; Tenhunen, H. Novel agent-based management for fault-tolerance in network-on-chip. In Proceedings of the 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), Lubeck, Germany, 29–31 August 2007; pp. 551–555. [Google Scholar] [CrossRef]
- Li, B.; Zhao, L.; Iyer, R.; Peh, L.S.; Leddige, M.; Espig, M.; Lee, S.E.; Newell, D. CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs. J. Parallel Distrib. Comput. 2011, 71, 700–713. [Google Scholar] [CrossRef]
- Reinbrecht, C.; Susin, A.; Bossuet, L.; Sepúlveda, J. Gossip NoC—Avoiding timing side-channel attacks through traffic management. In Proceedings of the 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Pittsburgh, PA, USA, 11–13 July 2016; pp. 601–606. [Google Scholar] [CrossRef]
- Dorai, A.; Fresse, V.; Combes, C.; Bourennane, E.B.; Mtibaa, A. A collision management structure for NoC deployment on multi-FPGA. Microprocess. Microsyst. 2017, 49, 28–43. [Google Scholar] [CrossRef]
- Han, K.; Lee, J.J.; Lee, W.; Lee, J. A Diagnosable Network-on-Chip for FPGA Verification of Intellectual Properties. IEEE Des. Test 2019, 36, 81–87. [Google Scholar] [CrossRef]
- Rahmani, A.M.; Vaddina, K.R.; Latif, K.; Liljeberg, P.; Plosila, J.; Tenhunen, H. Generic monitoring and management infrastructure for 3D NoC-bus hybrid architectures. In Proceedings of the 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, Lyngby, Denmark, 9–11 May 2012; pp. 177–184. [Google Scholar] [CrossRef]
- Chen, K.C.J.; Chao, C.H.; Wu, A.Y.A. Thermal-Aware 3D Network-On-Chip (3D NoC) Designs: Routing Algorithms and Thermal Managements. IEEE Circuits Syst. Mag. 2015, 15, 45–69. [Google Scholar] [CrossRef]
- Dutt, N.; Jantsch, A.; Sarma, S. Self-aware Cyber-Physical Systems-on-Chip. In Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2015; pp. 46–50. [Google Scholar] [CrossRef]
- Singh, A.K.; Dey, S.; McDonald-Maier, K.; Basireddy, K.R.; Merrett, G.V.; Al-Hashimi, B.M. Dynamic energy and thermal management of multi-core mobile platforms: A survey. IEEE Des. Test 2020, 37, 25–33. [Google Scholar] [CrossRef]
- Said, M.; Shalaby, A.; Gebali, F. Thermal-aware network-on-chips: Single- and cross-layered approaches. Future Gener. Comput. Syst. 2019, 91, 61–85. [Google Scholar] [CrossRef]
- Chittamuru, S.V.R.; Thakkar, I.G.; Pasricha, S. LIBRA: Thermal and Process Variation Aware Reliability Management in Photonic Networks-on-Chip. IEEE Trans. Multi-Scale Comput. Syst. 2018, 4, 758–772. [Google Scholar] [CrossRef]
- Chen, K.C.; Tang, H.W.; Liao, Y.H.; Yang, Y.C. Temperature tracking and management with number-limited thermal sensors for thermal-aware NoC systems. IEEE Sens. J. 2020, 20, 13018–13028. [Google Scholar] [CrossRef]
- Ellinidou, S.; Sharma, G.; Markowitch, O.; Gogniat, G.; Dricot, J.M. A novel Network-on-Chip security algorithm for tolerating Byzantine faults. In Proceedings of the 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Frascati, Italy, 19–21 October 2020; pp. 1–6. [Google Scholar] [CrossRef]
- Pande, P.; Grecu, C.; Ivanov, A.; Saleh, R.; Micheli, G.D. Design, Synthesis, and Test of Networks on Chips. IEEE Des. Test Comput. 2005, 22, 404–413. [Google Scholar] [CrossRef]
- Bjerregaard, T.; Mahadevan, S. A survey of research and practices of network-on-chip. ACM Comput. Surv. 2006, 38, 71–121. [Google Scholar] [CrossRef]
- Brooks, D.; Martonosi, M. Dynamic Thermal Management for Microprocessors. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture HPCA, Monterrey, Mexico, 19–24 January 2001; pp. 171–182. [Google Scholar]
- Nilsson, E.; Millberg, M.; Oberg, J.; Jantsch, A. Load distribution with the proximity congestion awareness in a network on chip. In Proceedings of the 2003 Design, Automation and Test in Europe Conference and Exhibition, Munich, Germany, 7 March 2003; pp. 1126–1127. [Google Scholar] [CrossRef]
- Talpes, E.; Marculescu, D. Toward a multiple clock/voltage island design style for power-aware processors. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2005, 13, 591–603. [Google Scholar] [CrossRef]
- Zhu, Y.; Albonesi, D.H. Synergistic Temperature and Energy Management in GALS Processor Architectures. In Proceedings of the 2006 International Symposium on Low Power Electronics and Design, Tegernsee, Germany, 4–6 October 2006; pp. 55–60. [Google Scholar] [CrossRef]
- Leung, L.F.; Tsui, C.Y. Energy-aware synthesis of networks-on-chip implemented with voltage islands. In Proceedings of the 44th Annual Design Automation Conference, San Diego, CA, USA, 4–8 June 2007; pp. 128–131. [Google Scholar] [CrossRef]
- Kim, W.; Gupta, M.S.; yeon Wei, G.; Brooks, D. System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching Regulators. In Proceedings of the 2008 IEEE 14th International Symposium on High Performance Computer Architecture, Salt Lake City, UT, USA, 16–20 February 2008; pp. 123–134. [Google Scholar]
- Hosseinabady, M.; Nunez-Yanez, J. Fault-tolerant dynamically reconfigurable NoC-based SoC. In Proceedings of the 2008 International Conference on Application-Specific Systems, Architectures and Processors, Leuven, Belgium, 2–4 July 2008; pp. 31–36. [Google Scholar] [CrossRef]
- Rangan, K.K.; Wei, G.Y.; Brooks, D. Thread motion: Fine-grained power management for multi-core systems. In Proceedings of the 36th International Symposium on Computer Architecture, Austin, TX, USA, 20–24 June 2009; pp. 302–313. [Google Scholar] [CrossRef]
- Yin, A.W.; Xu, T.C.; Liljeberg, P.; Tenhunen, H. Explorations of Honeycomb Topologies for Network-on-Chip. In Proceedings of the 2009 Sixth IFIP International Conference on Network and Parallel Computing, Gold Coast, QLD, Australia, 19–21 October 2009; pp. 73–79. [Google Scholar] [CrossRef]
- Chao, C.H.; Jheng, K.Y.; Wang, H.Y.; Wu, J.C.; Wu, A.Y. Traffic- and thermal-aware run-time thermal management scheme for 3D NoC systems. In Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, 3–6 May 2010; pp. 223–230. [Google Scholar] [CrossRef]
- Tran, A.T.; Truong, D.N.; Baas, B. A Reconfigurable Source-Synchronous On-Chip Network for GALS Many-Core Platforms. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2010, 29, 897–910. [Google Scholar] [CrossRef]
- Sinnen, O.; To, A.; Kaur, M. Contention-aware scheduling with task duplication. J. Parallel Distrib. Comput. 2011, 71, 77–86. [Google Scholar] [CrossRef]
- Mishra, A.K.; Mutlu, O.; Das, C.R. A heterogeneous multiple network-on-chip design: An application-aware approach. In Proceedings of the 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, TX, USA, 29 May–7 June 2013. [Google Scholar] [CrossRef]
- Chen, K.C.; Kuo, C.C.; Hung, H.S.; Wu, A.Y.A. Traffic- and Thermal-aware Adaptive Beltway Routing for three dimensional Network-on-Chip systems. In Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS), Beijing, China, 19–23 May 2013; pp. 1660–1663. [Google Scholar] [CrossRef]
- Chao, C.H.; Chen, K.C.; Yin, T.C.; Lin, S.Y.; Wu, A.Y.A. Transport-layer-assisted routing for runtime thermal management of 3D NoC systems. ACM Trans. Embed. Comput. Syst. 2013, 13, 11. [Google Scholar] [CrossRef]
- Kornaros, G.; Pnevmatikatos, D. Dynamic power and thermal management of NoC-based heterogeneous MPSoCs. ACM Trans. Reconfigurable Technol. Syst. 2014, 7, 1. [Google Scholar] [CrossRef]
- Lee, D.; Parikh, R.; Bertacco, V. Highly fault-tolerant NoC routing with application-aware congestion management. In Proceedings of the 9th International Symposium on Networks-on-Chip, Vancouver, BC, Canada, 28–30 September 2015; pp. 1–8. [Google Scholar] [CrossRef]
- Rahman, M.M.H.; Nor, R.M.; Sembok, T.M.B.T.; Akhand, M.A.H. Architecture and Network-on-Chip Implementation of a New Hierarchical Interconnection Network. J. Circuits Syst. Comput. 2015, 24, 1540006. [Google Scholar] [CrossRef]
- Jain, A.; Kumar, A.; Sharma, S. Comparative Design and Analysis of Mesh, Torus and Ring NoC. Procedia Comput. Sci. 2015, 48, 330–337. [Google Scholar] [CrossRef]
- De, V. Fine-grain power management in manycore processor and System-on-Chip (SoC) designs. In Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2016; pp. 159–164. [Google Scholar] [CrossRef]
- Ali, M.N.; Rahman, M.M.; Nor, R.M.; Sembok, T.M.B.T. A High Radix Hierarchical Interconnection Network for Network-on-Chip. In Proceedings of the 12th International Conference on Computing and Information Technology (IC2IT), Bangkok, Thailand, 8–9 July 2016; pp. 245–254. [Google Scholar] [CrossRef]
- Faisal, F.A.; Rahman, M.M.; Inoguchi, Y. A new power efficient high performance interconnection network for many-core processors. J. Parallel Distrib. Comput. 2017, 101, 92–102. [Google Scholar] [CrossRef]
- Fukase, N.; Miura, Y.; Watanabe, S.; Rahman, M.H. The Performance Evaluation of a 3D Torus Network Using Partial Link-Sharing Method in NoC Router Buffer. IEICE Trans. Inf. Syst. 2017, E100.D, 2478–2492. [Google Scholar] [CrossRef]
- Tarafdar, N.; Eskandari, N.; Sharma, V.; Lo, C.; Chow, P. Galapagos: A full stack approach to FPGA integration in the cloud. IEEE Micro 2018, 38, 18–24. [Google Scholar] [CrossRef]
- Liu, W.; Yang, L.; Jiang, W.; Feng, L.; Guan, N.; Zhang, W.; Dutt, N. Thermal-aware task mapping on dynamically reconfigurable network-on-chip based multiprocessor system-on-chip. IEEE Trans. Comput. 2018, 67, 1818–1834. [Google Scholar] [CrossRef]
- Moghaddam, M.G.; Guan, W.; Ababei, C. Dynamic Energy Optimization in Chip Multiprocessors Using Deep Neural Networks. IEEE Trans. Multi-Scale Comput. Syst. 2018, 4, 649–661. [Google Scholar] [CrossRef]
- Kochte, M.A.; Wunderlich, H.J. Self-Test and Diagnosis for Self-Aware Systems. IEEE Des. Test 2018, 35, 7–18. [Google Scholar] [CrossRef]
- Pano, V.; Lerner, S.; Yilmaz, I.; Lui, M.; Taskin, B. Workload-Aware Routing (WAR) for Network-on-Chip Lifetime Improvement. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–5. [Google Scholar] [CrossRef]
- Mehranzadeh, A.; Khademzadeh, A.; Bagherzadeh, N.; Reshadi, M. DICA: Destination intensity and congestion-aware output selection strategy for network-on-chip systems. IET Comput. Digit. Tech. 2019, 13, 335–347. [Google Scholar] [CrossRef]
- Du, G.; Liu, G.; Li, Z.; Cao, Y.; Zhang, D.; Ouyang, Y.; Gao, M.; Lu, Z. SSS: Self-aware System-on-chip Using a Static-dynamic Hybrid Method. ACM J. Emerg. Technol. Comput. Syst. 2019, 15, 28. [Google Scholar] [CrossRef]
- Ali, M.N.; Rahman, M.M.; Nor, R.M.; Behera, D.K.; Sembok, T.M.T.; Miura, Y.; Inoguchi, Y. SCCN: A Time-Effective Hierarchical Interconnection Network for Network-On-Chip. Mob. Netw. Appl. 2019, 24, 1255–1264. [Google Scholar] [CrossRef]
- Bhanu, P.V.; Kulkarni, P.V.; Joshi, S. Butterfly-Fat-Tree topology based fault-tolerant Network-on-Chip design using particle swarm optimisation. J. Exp. Theor. Artif. Intell. 2019, 31, 781–799. [Google Scholar] [CrossRef]
- Yeganeh-Khaksar, A.; Ansari, M.; Ejlali, A. ReMap: Reliability Management of Peak-Power-Aware Real-Time Embedded Systems through Task Replication. IEEE Trans. Emerg. Top. Comput. 2020, 10, 312–323. [Google Scholar] [CrossRef]
- Sunny, F.; Mirza, A.; Thakkar, I.; Pasricha, S.; Nikdast, M. LoraX: Loss-aware approximations for energy-efficient silicon photonic networks-on-chip. In Proceedings of the 30th ACM Great Lakes Symposium on VLSI (GLSVLSI), Beijing, China, 7–9 September 2020; pp. 235–240. [Google Scholar] [CrossRef]
- Mandal, S.K.; Bhat, G.; Doppa, J.R.; Pande, P.P.; Ogras, U.Y. An energy-aware online learning framework for resource management in heterogeneous platforms. arXiv 2020, arXiv:2003.09526. [Google Scholar] [CrossRef]
- Pagani, S.; Manoj, P.D.; Jantsch, A.; Henkel, J. Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2020, 39, 101–116. [Google Scholar] [CrossRef]
- Alaei, M.; Yazdanpanah, F. A Dynamic Congestion Management Method for Reconfigurable Network on Chip. J. Soft Comput. Inf. Technol. 2020, 9, 74–86. [Google Scholar]
- Lee, S.C.; Han, T.H. Q-Function-Based Traffic- and Thermal-Aware Adaptive Routing for 3D Network-on-Chip. Electronics 2020, 9, 392. [Google Scholar] [CrossRef]
- Satish, J.A.; Taqhi, H.; Mishra, H.; Reddy, P.C.; Sanju, V. RiCoBiT—A topology for the future multi core processor: A concept analysis and review of literature. In Proceedings of the 2020 International Conference on Smart Technologies in Computing, Electrical and Electronics (ICSTCEE), Bengaluru, India, 9–10 October 2020; pp. 234–239. [Google Scholar] [CrossRef]
- Bhowmik, B.; Deka, J.K.; Biswas, S. Reliability Monitoring in a Smart NoC Component. In Proceedings of the 2020 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Glasgow, UK, 23–25 November 2020; pp. 20–23. [Google Scholar] [CrossRef]
- Zhang, H.; Wang, X. KGT: An Application Mapping Algorithm Based on Kernighan-Lin Partition and Genetic Algorithm for WK-Recursive NoC Architecture. In Proceedings of the Intelligent Computing Theories and Application: 17th International Conference, ICIC 2021, Shenzhen, China, 12–15 August 2021; pp. 86–101. [Google Scholar] [CrossRef]
- Li, M.; Liu, W.; Duong, L.H.; Chen, P.; Yang, L.; Xiao, C. Contention-Aware Routing for Thermal-Reliable Optical Networks-on-Chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2021, 40, 260–273. [Google Scholar] [CrossRef]
- Monakhov, O.G.; Monakhova, E.A.; Romanov, A.Y.; Sukhov, A.M.; Lezhnev, E.V. Adaptive Dynamic Shortest Path Search Algorithm in Networks-on-Chip Based on Circulant Topologies. IEEE Access 2021, 9, 160836–160846. [Google Scholar] [CrossRef]
- Romanov, A.; Myachin, N.; Sukhov, A. Fault-Tolerant Routing in Networks-on-Chip Using Self-Organizing Routing Algorithms. In Proceedings of the IECON 2021—47th Annual Conference of the IEEE Industrial Electronics Society, Toronto, ON, Canada, 13–16 October 2021; pp. 1–6. [Google Scholar] [CrossRef]
- Chaves, C.G.; Sepúlveda, J.; Hollstein, T. Lightweight Monitoring Scheme for Flooding doS Attack Detection in Multi-Tenant MPSoCs. In Proceedings of the 2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, 22–28 May 2021; pp. 1–5. [Google Scholar] [CrossRef]
- Sahoo, S.S.; Ranjbar, B.; Kumar, A. Reliability-Aware Resource Management in Multi-/Many-Core Systems: A Perspective Paper. J. Low Power Electron. Appl. 2021, 11, 7. [Google Scholar] [CrossRef]
- Singh, S.; Ravindra, J.; Naik, B.R. Proffering Secure Energy Aware Network-On-Chip (Noc) Using Incremental Cryptogine. Sustain. Comput. Inform. Syst. 2022, 35, 100682. [Google Scholar] [CrossRef]
- He, J.; Xiao, Y.; Bogdan, C.; Nazarian, S.; Bogdan, P. A Design Methodology for Energy-Aware Processing in Unmanned Aerial Vehicles. ACM Trans. Des. Autom. Electron. Syst. 2022, 27, 4. [Google Scholar] [CrossRef]
- Sundari, K.S.; Narmadha, R. Design energy efficient shared distributed memory management system on SoC’s to improve memory performance. Appl. Nanosci. 2023, 13, 1691–1701. [Google Scholar] [CrossRef]
- Ali, J.; Maqsood, T.; Khalid, N.; Madani, S.A. Communication and aging aware application mapping for multicore based edge computing servers. Clust. Comput. 2023, 26, 223–235. [Google Scholar] [CrossRef]
- Cherezova, N.; Shibin, K.; Jenihhin, M.; Jutman, A. Understanding fault-tolerance vulnerabilities in advanced SoC FPGAs for critical applications. Microelectron. Reliab. 2023, 146, 115010. [Google Scholar] [CrossRef]
- Sukhov, A.M.; Romanov, A.Y.; Selin, M.P. Virtual Coordinate System Based on a Circulant Topology for Routing in Networks-On-Chip. Symmetry 2024, 16, 127. [Google Scholar] [CrossRef]
- Gabis, A.B.; Koudil, M. NoC routing protocols—Objective-based classification. J. Syst. Archit. 2016, 66–67, 14–32. [Google Scholar] [CrossRef]
- Tatas, K.; Siozios, K.; Soudris, D.; Jantsch, A. The Spidergon STNoC; Springer: New York, NY, USA, 2014; pp. 161–190. [Google Scholar] [CrossRef]
- Jantsch, A.; Dutt, N.; Rahmani, A.M. Self-Awareness in Systems on Chip—A Survey. IEEE Des. Test 2017, 34, 8–26. [Google Scholar] [CrossRef]
- Azadi, A.; Attarzadeh-Niaki, S.H.; Shekofteh, Y. Model-Based Design of A Real-time Context-Aware Speech Enhancement System on an FPGA-SoC. In Proceedings of the 2020 20th International Symposium on Computer Architecture and Digital Systems (CADS), Rasht, Iran, 19–20 August 2020; pp. 31–34. [Google Scholar] [CrossRef]
- Zhang, Y.W.; Chen, R.K. A survey of energy-aware scheduling in mixed-criticality systems. J. Syst. Archit. 2022, 127, 102524. [Google Scholar] [CrossRef]
- Dutt, N.; Jantsch, A.; Sarma, S. Toward smart embedded systems: A self-aware system-on-chip (SoC) perspective. ACM Trans. Embed. Comput. Syst. 2016, 15, 22. [Google Scholar] [CrossRef]
- Lee, E.A. Cyber physical systems: Design challenges. In Proceedings of the 2008 11th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC), Orlando, FL, USA, 5–7 May 2008; pp. 363–369. [Google Scholar] [CrossRef]
- Sarma, S.; Dutt, N.; Gupta, P.; Nicolau, A.; Venkatasubramanian, N. On-chip self-awareness using cyberphysical-systems-on-chip (CPSoC). In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Uttar Pradesh, India, 12–17 October 2014; pp. 1–3. [Google Scholar] [CrossRef]
- Sarma, S.; Dutt, N.; Gupta, P.; Venkatasubramanian, N.; Nicolau, A. CyberPhysical-System-on-Chip (CPSoC): Sensor-Actuator Rich Self-Aware Computational Platform; University of California Irvine: Irvine, CA, USA, 2013; pp. 1–26. [Google Scholar]
- Götzinger, M.; Juhász, D. RoSA: A Framework for Modeling Self-Awareness in Cyber-Physical Systems. IEEE Access 2020, 8, 141373–141394. [Google Scholar] [CrossRef]
- Sezer, S.; Scott-Hayward, S.; Chouhan, P.; Fraser, B.; Lake, D.; Finnegan, J.; Viljoen, N.; Miller, M.; Rao, N. Are we ready for SDN? Implementation challenges for software-defined networks. IEEE Commun. Mag. 2013, 51, 36–43. [Google Scholar] [CrossRef]
Management Goal or Improvement | Last 20 Years | Last 15 Years | Last 10 Years | Last 5 Years | Trend | ||||
---|---|---|---|---|---|---|---|---|---|
Number of Papers | Percentage | Number of Papers | Percentage | Number of Papers | Percentage | Number of Papers | Percentage | ||
Power effieciency | 66 | 37.93% | 55 | 36.67% | 46 | 38.02% | 25 | 37.88% | |
Thermal | 42 | 24.14% | 34 | 22.67% | 25 | 20.66% | 14 | 21.21% | |
Latency | 40 | 22.99% | 37 | 24.67% | 29 | 23.97% | 15 | 22.73% | |
Fault-Tolerance | 35 | 20.11% | 33 | 22.00% | 28 | 23.14% | 12 | 18.18% | |
Throughput | 28 | 16.09% | 22 | 14.67% | 13 | 10.74% | 6 | 9.09% | |
Security | 16 | 9.20% | 16 | 10.67% | 16 | 13.22% | 10 | 15.15% | |
QoS | 15 | 8.62% | 13 | 8.67% | 9 | 7.44% | 4 | 6.06% | |
Execution time | 15 | 8.62% | 14 | 9.33% | 11 | 9.09% | 5 | 7.58% | |
Area | 9 | 5.17% | 8 | 5.33% | 7 | 5.79% | 4 | 6.06% | |
NoC Focused | 97 | 55.75% | 85 | 56.67% | 70 | 57.85% | 40 | 60.61% | |
Self-x properties | 58 | 33.33% | 55 | 36.67% | 45 | 37.19% | 28 | 42.42% |
Management Goal or Improvement | |||||||||
---|---|---|---|---|---|---|---|---|---|
NoC Management Improvement Area | Power Efficiency | Thermal | Latency | Fault-Tolerance | Throughput | Security | QoS | Execution Time | Area |
Routing algorithm | [161,180,188] | [165,189,193] [161,166] | [10,50,62] [49,168,180] [104,165,166] [71,153,160] [169,182,194] | [134,168,179] [169,195] | [10,188,189] [107,168,180] [115,161,166] [153] | [21,37,41] | [115] | [165,188] | |
Topology | [4,44,199] [22,172,173,192] | [22,77] | [4,116,160] [169,182,190,192] | [169,183,203] | [4,107] | [116] | [170,199] | [44] | |
Buffer | [52,198] | [10] | [10,115] | [198] | [115] | [174,198] |
Year | Aware System Management | ||||||||||||
---|---|---|---|---|---|---|---|---|---|---|---|---|---|
Thermal-Aware | Energy-Aware | Reliability-Aware | Traffic-Aware | Congestion-Aware | Environment-Aware | Application-Aware | Workload-Aware | Contention-Aware | QoS-Aware | Loss-Aware | Fluidity-Aware | NoC Focused | |
2003 | [153] | [153] | |||||||||||
2004 | [131] | [131] | [132] | [131] | |||||||||
2005 | [64,154] | [63] | [100] | [63] | |||||||||
2006 | [133] | ||||||||||||
2007 | [124] | [156] | [66] | [66,156] | |||||||||
2008 | [58] | [158] | [58] | ||||||||||
2010 | [31,125,161] | [71,161] | [71] | [31] | [71,161] | ||||||||
2011 | [70,103] | [68] | [68] | [163] | [138] | [163] | |||||||
2012 | [142] | [142] | |||||||||||
2013 | [104,165,166] | [76,104,165] | [164] | [76,104,164] | |||||||||
2015 | [79,143] | [168] | [77] | [168] | [78] | [106] | [77,106,168] | ||||||
2016 | [126] | [82] | [82] | [81] | [82] | ||||||||
2017 | [86,117] | [30] | |||||||||||
2018 | [147,176] | [179] | [28] | [1,28] | [179] | [176] | [1,28,147,179] | ||||||
2019 | [146,181] | [52] | [180] | [29] | [38] | [40] | [29,109] | [52,146,181] | |||||
2020 | [96,112,189] | [97,184,186] | [96,184,191] | [10,95,189] | [10,189] | [119,187,207] | [119] | [185] | [10] | [10,95,185,189,191] | |||
2021 | [98,148] | [98] | [197] | [193] | [148,193] | ||||||||
2022 | [111] | [111,198,199,208] | [111,128] | [199] | [111,128,198,199] | ||||||||
2023 | [129] | [130,201,202] | [62] | [62,201] |
Challenges | |||
---|---|---|---|
Self-Awareness [206] | What Is Needed? | SA-CPSoC [12] | What Is Needed? |
Dynamic Learning | Better machine learning algorithms based on feedback signals. | Considering self-awareness, subjectivity, and situatedness. | Techniques that consider the system’s own perspective in different possible situations in addition to the environmental changes. Enhancing the decision-making process. |
Scalable self-awareness | Define different levels of self-awareness for different system requirements. | Building resource-sensitive self-awareness. | Consider the resources needed to implement self-awareness and its processes at runtime. |
Ensuring correctness | Validate the level of systems adaptation ensuring reliability and guarantees. | Verifying self-awareness and establishing guarantees. | Methods to implement verifications of self-awareness level from the design stage and make the system understand the guarantees during operation. |
Design methology | Change the design paradigm to let the systems be self-aware. | Developing new designs and engineering processes. | Adapt design and processes to introduce self-aware CPSs characteristics including dynamic decisions instead of predefined decisions. |
Formulation goals | Define more quantitative goals like adaptability, autonomy, self-assessment, and situation assessment, and formulating mechanisms to define trade-offs. | Creating an infrastructure for self-awareness processes. | New reference architectures and design templates guided to provide a generic infrastructure that facilitates the development of SA-CPS and all of its capabilities. |
Management Goal or Improvement | ||||||||
---|---|---|---|---|---|---|---|---|
General | Power Efficiency | Latency | Fault-Tolerance | Throughput | Security | QoS | ||
Organization | Centralized | [23,35,36] [80,83] | [9,32] | [32,33,87] | [149] | [1,21,37] [41,94,149] | [87,91] | |
Distributed | [4,31,44] | [14,29,50] [4] | [14] | [4] | [1,49,94] | [14,29] |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Gonzalez-Martinez, G.; Sandoval-Arechiga, R.; Solis-Sanchez, L.O.; Garcia-Luciano, L.; Ibarra-Delgado, S.; Solis-Escobedo, J.R.; Gomez-Rodriguez, J.R.; Rodriguez-Abdala, V.I. A Survey of MPSoC Management toward Self-Awareness. Micromachines 2024, 15, 577. https://doi.org/10.3390/mi15050577
Gonzalez-Martinez G, Sandoval-Arechiga R, Solis-Sanchez LO, Garcia-Luciano L, Ibarra-Delgado S, Solis-Escobedo JR, Gomez-Rodriguez JR, Rodriguez-Abdala VI. A Survey of MPSoC Management toward Self-Awareness. Micromachines. 2024; 15(5):577. https://doi.org/10.3390/mi15050577
Chicago/Turabian StyleGonzalez-Martinez, Guillermo, Remberto Sandoval-Arechiga, Luis Octavio Solis-Sanchez, Laura Garcia-Luciano, Salvador Ibarra-Delgado, Juan Ramon Solis-Escobedo, Jose Ricardo Gomez-Rodriguez, and Viktor Ivan Rodriguez-Abdala. 2024. "A Survey of MPSoC Management toward Self-Awareness" Micromachines 15, no. 5: 577. https://doi.org/10.3390/mi15050577
APA StyleGonzalez-Martinez, G., Sandoval-Arechiga, R., Solis-Sanchez, L. O., Garcia-Luciano, L., Ibarra-Delgado, S., Solis-Escobedo, J. R., Gomez-Rodriguez, J. R., & Rodriguez-Abdala, V. I. (2024). A Survey of MPSoC Management toward Self-Awareness. Micromachines, 15(5), 577. https://doi.org/10.3390/mi15050577