Next Article in Journal
Tourism Sentiment Chain Representation Model and Construction from Tourist Reviews
Next Article in Special Issue
TrustShare: Secure and Trusted Blockchain Framework for Threat Intelligence Sharing
Previous Article in Journal
Accessible IoT Dashboard Design with AI-Enhanced Descriptions for Visually Impaired Users
Previous Article in Special Issue
Machine Learning and Deep Learning-Based Atmospheric Duct Interference Detection and Mitigation in TD-LTE Networks
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Integrating Physical Unclonable Functions with Machine Learning for the Authentication of Edge Devices in IoT Networks

by
Abdul Manan Sheikh
1,2,*,
Md. Rafiqul Islam
2,
Mohamed Hadi Habaebi
2,*,
Suriza Ahmad Zabidi
2,
Athaur Rahman Bin Najeeb
2 and
Adnan Kabbani
1
1
Department of Electrical Engineering and Computer Science, College of Engineering, A’Sharqiyah University, Ibra 400, Oman
2
Department of Electrical Computer Engineering, Kulliyyah of Engineering, International Islamic University, Kuala Lumpur 53100, Malaysia
*
Authors to whom correspondence should be addressed.
Future Internet 2025, 17(7), 275; https://doi.org/10.3390/fi17070275
Submission received: 16 May 2025 / Revised: 16 June 2025 / Accepted: 19 June 2025 / Published: 21 June 2025
(This article belongs to the Special Issue Distributed Machine Learning and Federated Edge Computing for IoT)

Abstract

Edge computing (EC) faces unique security threats due to its distributed architecture, resource-constrained devices, and diverse applications, making it vulnerable to data breaches, malware infiltration, and device compromise. The mitigation strategies against EC data security threats include encryption, secure authentication, regular updates, tamper-resistant hardware, and lightweight security protocols. Physical Unclonable Functions (PUFs) are digital fingerprints for device authentication that enhance interconnected devices’ security due to their cryptographic characteristics. PUFs produce output responses against challenge inputs based on the physical structure and intrinsic manufacturing variations of an integrated circuit (IC). These challenge-response pairs (CRPs) enable secure and reliable device authentication. Our work implements the Arbiter PUF (APUF) on Altera Cyclone IV FPGAs installed on the ALINX AX4010 board. The proposed APUF has achieved performance metrics of 49.28% uniqueness, 38.6% uniformity, and 89.19% reliability. The robustness of the proposed APUF against machine learning (ML)-based modeling attacks is tested using supervised Support Vector Machines (SVMs), logistic regression (LR), and an ensemble of gradient boosting (GB) models. These ML models were trained over more than 19K CRPs, achieving prediction accuracies of 61.1%, 63.5%, and 63%, respectively, thus cementing the resiliency of the device against modeling attacks. However, the proposed APUF exhibited its vulnerability to Multi-Layer Perceptron (MLP) and random forest (RF) modeling attacks, with 95.4% and 95.9% prediction accuracies, gaining successful authentication. APUFs are well-suited for device authentication due to their lightweight design and can produce a vast number of challenge-response pairs (CRPs), even in environments with limited resources. Our findings confirm that our approach effectively resists widely recognized attack methods to model PUFs.

1. Introduction

The Internet of Things (IoT) has facilitated the transformation of traditional computing devices into physical objects, integrating sensors and software that enable them to exchange meaningful data within their environments. In 2022, around 31 billion “things” were connected, and this is projected to reach 75 billion by 2025 [1,2,3]. The data processing should be carried out closer to the data source for mission-critical real-time applications [4]. A concept where data processing occurs at the network’s edge was proposed to address the limitations of cloud computing and is termed edge computing (EC) [5,6]. EC manages data closer to end users, thus improving response times, reducing latency, and delegating computational tasks to edge nodes [7]. Gartner predicted that 75% of the enterprise data will be processed at the edge instead of cloud-based servers by 2025 [8]. The limited computational, storage, and power capabilities of typical edge devices make them vulnerable to unauthorized access by adversaries and restrict the implementation of robust security measures. Although the hierarchical EC architecture improves data security by distributing it across multiple nodes, it also creates opportunities for hackers by exposing the communication links between edge devices and the cloud [9]. EC leverages various technologies to construct its network, creating opportunities for multiple types of attacks. Traditional data security and privacy techniques, originally designed for centralized computational and storage systems of cloud computing, might not meet the unique requirements of a distributed edge computing (EC) ecosystem  [10,11]. The synergy between EC and AI can result in immediate data processing and decision-making at the data source itself, further reducing delay and bandwidth requirements [12,13]. Artificial Intelligence (AI), machine learning (ML), data mining, and deep learning techniques are utilized for static and dynamic malware analysis, including anomaly detection. AI enhances the ability to detect, predict, and respond to threats effectively. ML, a subset of AI, is used to create and refine security models capable of understanding and adapting to new conditions [14,15]. An effective learning algorithm can leverage a trained model derived from labeled data to identify emerging security and privacy risks [16].
Traditional machine learning (ML) technologies rely on centralized cloud servers for model training, which raises concerns about data security and often faces resistance from data owners. Federated Learning (FL), an encrypted and distributed ML approach, enables multiple parties to collaborate on developing a model without exposing their raw data [17,18]. Blockchains offer solutions for FL-based intelligent edge computing (EC) as a ledger technology, leveraging its unique features such as decentralization, immutability, and traceability [19]. However, the distributed nature of blockchain may not be ideal for the EC paradigm. The massive volume of data increases the cost of managing blockchain nodes and storing data. Furthermore, large data volumes generate massive blocks, causing unacceptable verification and propagation delays in an EC environment. Additionally, duplicating IoT data across all nodes leads to inefficient use of storage resources [20]. Physical Unclonable Functions (PUFs) are cryptographic constructs that exploit the inherent physical variations in electronic devices to generate unique outputs. These outputs act as device-specific fingerprints for authentication, key generation, and other security applications [21]. PUFs can be integrated with edge devices, either as a stand-alone Application-Specific Integrated Circuit (ASIC), a subsection of a System-on-Chip (SoC), or within a Field-Programmable Gate Array (FPGA). Reconfigurable architectures, such as FPGAs, are the preferred choice for PUF implementation due to their flexibility, rapid development cycles, and optimal balance between high computational performance and energy efficiency [22,23,24]. Further ML with PUFs will improve data security in EC by enhancing device authentication, cryptographic key generation, and anomaly detection. ML optimizes PUF performance by improving noise immunity, adapting to available resources, increasing fault tolerance, enabling dynamic authentication, lightweight cryptographic solutions, and secure IoT device management [21,25,26].
This study introduces a unified authentication framework built around an Arbiter PUF (APUF) and its corresponding model to identify security threats. We further propose training the device-specific PUF model using ML algorithms and deploying these models across the edge nodes. A lightweight, dynamically configurable APUF, compatible with FPGA platforms, has been developed and features a minimal area requirement and strong reproducibility. The APUF was realized on an Altera Cyclone IV E FPGA (fabricated with 60 nm technology), exhibiting static power consumption ranging from 38 mW to 163 mW at 85 °C. The prediction accuracy of three commonly used machine learning models remained below 63%. The key contributions of this work are summarized as follows:
  • Grasping the concept of PUFs and their performance metrics, supported by illustrative examples.
  • The development of a basic Arbiter PUF, focusing on the placement and routing of the switch blocks and logic elements. The design is deployed on an ALINX 400 board from Alinx Electronic Limited, Shanghai, China, featuring an Altera Cyclone IV FPGA to assess its PUF performance metrics.
  • The utilization of Arbiter PUFs in security protocols and the design of a structure that integrates an Arbiter PUF to resist ML attacks.
The rest of the paper is organized as follows: Section 2 introduces us to edge computing and the challenges associated with the proposed concept. Section 3 discusses the role of Edge AI in ensuring real-time decision-making capabilities in decentralized, resource-constrained environments. Section 4 details the implementation of the proposed APUF, which includes a discussion on the RTL design process, timing closure, critical paths, placement, and routing mapping, as well as some common application scenarios. Section 5 presents the experimental setup along with a comprehensive performance evaluation based on numerous metrics. Lastly, Section 6 concludes our discussion by emphasizing key opportunities and potential directions for future research.

2. Edge Computing

A decentralized approach is taken for EC implementation, thus processing data near its source and minimizing latency [27]. It can operate as a stand-alone computing platform or collaborate with other components, such as the cloud [28]. The fundamental architecture of EC is illustrated in Figure 1.
The EC architecture can be structured into three layers: front-end, near-end, and far-end. The front-end layer includes end devices such as sensors and actuators, which facilitate better interaction and improved responsiveness for end users. Gateways, responsible for managing most of the network traffic within an EC network, are placed in the near-end layer. This layer handles data computation and storage, making it a critical component of the architecture. In contrast, the far-end layer consists of cloud servers, which, while offering significant computational and data storage capabilities, are located farther from the end devices—resulting in much higher latency [29]. Tasks performed on the edge include computational offloading, data storage, caching, processing, request distribution, and delivering services from the cloud to users. Therefore, the edge data path must be designed to meet the requirements for reliability, security, and privacy [30]. Adversaries may attempt to compromise IoT devices or sensors to gain access to sensitive information, including financial details, bank card data, location information, and health records [31].

2.1. Security and Privacy Challenges

Data transactions between front-end sensing devices and EC nodes are based on numerous wired and wireless communication standards. Similarly, data transmission between EC nodes and the cloud takes place over either public or private networks. However, these communication channels often lack robust security measures, rendering them susceptible to security and privacy threats [31,32]. Key security concerns include authentication, access control, intrusion detection, and privacy [33]. Furthermore, the distributed nature of EC-enabled IoT networks broadens the attack surface, as each node, server, and communication channel presents potential opportunities for attackers to exploit vulnerabilities [34,35]. EC introduces unique attack surfaces due to its distributed architecture and proximity to data sources [36].

2.1.1. Data Security and Privacy Threats Classification

As an extension of cloud computing, EC inherits several security challenges commonly associated with cloud environments. In addition, EC faces a unique set of security and privacy risks, such as man-in-the-middle attacks, Distributed Denial of Service (DDoS) attacks, and various other forms of intrusion attempts [33]. Adversaries frequently target EC servers during three key stages: communication, computation, and storage [31,37]. Traditional cloud-based security approaches are often unsuitable for EC due to its limited resources. As a result, specialized security solutions are necessary to ensure the reliability and efficiency of IoT applications in EC environments [5]. The associated data security threats and challenges in EC are summarized in Table 1.

2.1.2. Data Security Measures

Data security and privacy protection techniques require an integrated approach that combines advanced technologies, robust policies, and proactive strategies. A strong encryption mechanism is essential for establishing a protective barrier for data stored at edge nodes and during transmission. Enhanced access controls, multi-factor authentication mechanisms, and regular security assessments help mitigate data privacy and security risks arising from internal threats and potential data manipulation. Advanced anonymization techniques and differential privacy methods enable service providers to preserve client privacy while extracting meaningful insights from raw data. Comprehensive data governance frameworks ensure ethical data handling practices throughout the data lifecycle. Secure data transmission protocols and adherence to regulatory standards help ensure compliance with data protection laws. A brief overview of mitigation strategies against EC data security threats is presented below.
  • Data anonymization and pseudonymization: Anonymization drops the personally identifiable information (PII) from datasets, thus preventing their association with individuals during data analysis [43]. In contrast, pseudonymization is the processing of personal data so that it cannot be linked to a specific individual without additional information [44].
  • Encryption: Data encryption converts data into an unreadable format, accessible only through specific secret information, commonly referred to as a key [45]. Lightweight encryption is ideal for resource-constrained edge devices, while blockchain-based encryption secures decentralized edge networks through immutability.
  • Secure data aggregation (SDA): SDA optimally compresses data, removes redundancy, and lowers power consumption [46]. In this technique, edge devices encrypt their own data using homomorphic encryption techniques before transmitting it to the EC nodes [40].
  • Differential privacy (DP): The DP technique adds random noise to data, obscuring users’ sensitive information before it is shared on the network. Unlike traditional privacy protection methods, DP focuses on protecting individual data rather than the entire dataset [47].
  • Federated Learning (FL): FL enables the training of deep learning models across multiple participants or computing nodes while keeping data security and privacy intact. It supports the collaborative training of ML and DL models by leveraging local data on edge devices and sending only the updated model parameters to a central cloud server [48].
  • Access control and authentication: Edge devices frequently join and leave IoT networks dynamically, necessitating a connectivity framework that ensures security by authenticating the various devices within the network. Additionally, an access control mechanism should govern and monitor authorization to regulate access to network resources [49].
  • Trusted execution environments (TEEs): TEEs provide a hardware-based secure sandbox to shield sensitive programs. A TEE establishes a secure and isolated region within edge devices through the application of both hardware and software techniques [50,51]. It typically includes key components such as secure bootstrapping, which ensures the system initializes in a trusted state; isolated execution, which safeguards data and computations; and secure input/output mechanisms, such as sealed storage for protecting data at rest. TEEs also support remote attestation, enabling a remote party to verify the integrity and trustworthiness of a communication peer within the TEE [52].
  • Blockchains for decentralized security: Blockchain is a shared, decentralized, and distributed state machine in which a chain of blocks is linked through address pointers based on hash values [53]. A peer-to-peer model facilitates the storage and management of ledger data across multiple computers within the network. Integrating blockchain enables the distribution of computing and storage resources within the EC environment while also reducing the blockchain’s storage and computational load on performance-sensitive devices [54].
  • Secure software and firmware updates: The use of software or firmware updates can help reduce potential threats posed by the malicious actions of cybercriminals [55]. IoT devices rely on Over-the-Air (OTA) updates throughout their lifecycle to address bugs and apply patches to their firmware. However, a device’s security can be compromised if the server-side firmware database is breached [56].
  • Data minimization: This technique reduces the collection, processing, and storage of redundant data, thereby mitigating the risks of data breaches, unauthorized access, and misuse. This can be achieved through deduplication, which eliminates redundancy by retaining only a single copy of the data [57].
  • Policy-based governance: Cultural beliefs, legal frameworks, and ethical practices collectively shape the concept of privacy. The General Data Protection Regulation (GDPR) in Europe and the California Consumer Privacy Act (CCPA) aim to protect privacy rights through effective data management [58].
  • Edge-aware intrusion detection systems (IDSs): An IDS is a software- or hardware-based solution designed to detect malicious activities on data networks. IDSs can be categorized into two classes based on their detection approach: signature-based and anomaly-based techniques. A signature-based system compares monitored events against a database of known intrusion techniques, while an anomaly-based system learns the system’s normal behavior and flags any deviations [59].
  • Secure multi-party computation (SMPC): It is a cryptographic method that enables collaborative computations among multiple participants [60]. The protocol ensures that no participant gains access to information beyond the final computational results, provided that at least some participants follow the protocol. It restricts access to inputs, intermediate computations, and metadata such as value frequency distributions [61]. Consequently, SMPC eliminates the need for a trusted third party, as all computations are carried out exclusively by the participants [62].
  • Privacy-preserving AI models: AI-based applications enable real-time anomaly detection, allowing systems to identify and mitigate potential threats. Machine learning (ML) algorithms analyze network data patterns to predict vulnerabilities and implement countermeasures [63]. Edge AI deploys ML algorithms on end devices, enabling data processing at the network edge [64]. Integrating AI with edge computing (EC) also promotes context-aware processing and decision-making directly on edge devices [65].
  • Audit and monitoring: The integrity of user data at the edge is crucial for network operations and often relies on a third-party auditing platform (TPA) [66]. Networks that implement stringent security policies should be audited using data that includes authentication and authorization attempts [40]. Security audit methodologies incorporate cyber risk management, cybersecurity assessments, compliance evaluations, penetration testing, intrusion simulations, and emulation simulations [67].
  • Emerging trends: Quantum computing is an emerging technology that leverages quantum bits (qubits) instead of binary digits, enabling significantly faster computations than traditional computers. Quantum-Edge Cloud Computing (QECC) is an innovative approach that combines the computational power of quantum computing, the low-latency advantages of EC, and the scalability of cloud computing [68]. Another approach gaining traction is AI-powered EC, which deploys ML models for efficient, secure, and effective operations. Threat intelligence plays a crucial role in identifying, analyzing, and mitigating cybersecurity threats. Large datasets are analyzed on threat intelligence platforms powered by AI and ML to uncover patterns that can reveal cyber threats [69].

2.2. PUF-Based Authentication Mechanism

IoT systems utilize diverse sensing devices and physical nodes, making them vulnerable to counterfeiting and tampering. Therefore, robust security measures, like assigning unique identifiers to devices, implementing reliable mutual authentication, and ensuring encrypted data transmission between devices and the cloud, are essential [70]. Authentication is crucial for securing IoT networks by preventing unauthorized access to nodes and data. However, due to the limited storage, computational resources, and bandwidth of IoT nodes, it is challenging for the network to support extensive encryption technologies or implement sophisticated security mechanisms [71]. PUFs exploit inherent physical differences in devices to generate unique cryptographic keys for applications such as identification and authentication [72,73]. When an input called a “challenge” is applied to a PUF, it produces a corresponding output, or “response,” determined by each device’s unique and complex physical properties. This response cannot be reproduced, as it relies on uncontrollable physical variations introduced during the manufacturing process of edge devices [74].
As illustrated in Figure 2, the same challenge input presented to different PUFs produces unique responses. A collection of challenge inputs and their corresponding response outputs extracted from a PUF is called a challenge-response pair (CRP) set. The size of the CRP set categorizes PUFs as either strong or weak. A PUF with an exponentially large number of CRPs relative to the number of challenge bits is classified as a strong PUF, whereas weak PUFs have a more limited CRP set. Consequently, strong PUFs are suitable for complex cryptographic protocols, whereas weak PUFs are primarily used for key storage [75]. Weak PUFs, such as Ring Oscillators (ROs) and SRAM PUFs, are commonly utilized for key generation purposes. In contrast, strong PUFs include the Arbiter PUF (APUF) and its various enhancements, such as the XOR APUF, Feedback-Forward PUF (FFPUF), and Lightweight PUF (LPUF), which are used in device authentication [76].

2.2.1. Arbiter PUFs

An APUF is a delay-based PUF circuit that derives its output response bits from the time delay differences between two identical signal propagation paths. A race condition is created by simultaneously launching a common signal through both paths. The path that completes first determines the output response bit. These path-to-path delay variations arise due to device-specific manufacturing imperfections, yielding unique and effectively unpredictable responses for each chip [77].
Figure 3 illustrates signal propagation through two symmetrical paths consisting of switching elements, such as multiplexers, ultimately reaching an arbiter circuit that determines the output based on the race result. If the delay in the upper path exceeds that of the lower path, the response is ‘0’; otherwise, it is ‘1’ [78]. An APUF consists of n delay stages, each containing two input multiplexers that switch the signals based on the applied challenge bits. An arbiter at the end evaluates the response bit based on which signal arrives first—either on the top or bottom line. The signals propagate through the two delay paths, competing to reach the end first. The output is set to ‘1’ if the signal reaching the latch’s data input (D) is faster; otherwise, the output is ‘0’. The delay differences of the individual APUF stages combine additively, meaning the total delay difference between the two signals is the sum of the delay differences across all stages. A challenge bit c i = 1 swaps the two signals and can be modeled by multiplying the delay difference δ ( i ) at stage i by −1. In this way, a recursive formula, as shown in Equation (1), can be constructed to model the delay difference δ ( i ) at stage i.
δ ( i ) = δ ( i 1 , c ) · c i + s i c i
where s i ( c i ) is the delay difference introduced at stage i for challenge c i . The sign of the final delay difference δ ( n ) at stage-n then defines the response bit. Challenge inputs C = { c 1 , c 2 , , c n } { 0 , 1 } n decide the propagation path of the signal through the circuit. Two signals race through the circuit, and a D-flipflop or a D-latch finally determines the 1-bit response ‘r’ { 0 , 1 } . The input at the top propagates to the top output, whereas the bottom input comes out from the bottom output for c j = 0 , and the top input comes out from the bottom output and vice-versa in the case of c j = 1 .
For stage j:
  • δ j , 0 : top path delay for c j = 0 ;
  • δ j , 1 : top path delay for c j = 1 ;
  • δ j , 2 : bottom path delay for c j = 0 ;
  • δ j , 3 : bottom path delay for c j = 1 .
The above delay variations differ significantly from device to device due to inherent manufacturing variations. The total delay difference δ at the n th stage is expressed as a linear combination of the delay differences at each stage. The output response of the APUF is determined by the sign of δ , as shown in Equation (2), where
δ = j = 1 N w j ϕ j
A feature vector ϕ j in Equation (3), derived from the challenge bits, is typically defined as
ϕ j = j = k N ( 1 2 c j )
w j in Equation (4) is a weight representing the delay difference for stage-j, which is a function of the physical variations:
w j = ( δ j , 0 δ j , 1 ) + ( δ j , 2 δ j , 3 ) 2
The response r given in Equation (5) is determined by the sign of the delay difference [79] δ , i.e.,
r = 1 , δ 0 0 , δ < 0
Studies have demonstrated that APUFs can be compromised by machine learning (ML) attacks using a relatively small set of CRPs. This vulnerability stems from the fundamentally linear and additive characteristics of the mathematical model of the PUF. Consequently, subsequent improvements in APUF design have primarily focused on enhancing resistance to ML-based attacks.

2.2.2. PUF Performance Matrices

The ISO/IEC DIS 20897 manual specifies security constraints for PUFs operating in batch or stand-alone modes. The security requirements for PUFs are expected to comply with ISO/IEC 20897-1, and their evaluation should follow ISO/IEC 20897-2 [80,81]. Numerous researchers have considered PUF key metrics, as shown in Figure 4, to develop a comprehensive framework for assessing the performance of PUFs [82,83,84]. Two key metrics for evaluating the security and authentication performance of PUFs are the False Acceptance Rate (FAR) and the False Rejection Rate (FRR). The FRR refers to the likelihood that a valid input, such as a challenge-response pair (CRP), is mistakenly rejected by the PUF. Conversely, the FAR represents the probability that an invalid CRP is erroneously accepted. The balance between the intra-Hamming distance (intra-HD) and inter-Hamming distance (inter-HD) significantly influences both FAR and FRR [85]. A crucial performance measure in this context is the Equal Error Rate (EER), which occurs when the FAR and FRR values are equal.

2.2.3. Temperature and Voltage Variations

Process-induced variations in devices are leveraged by PUFs to generate unique and unclonable authentication keys. However, fluctuations in temperature and voltage can compromise the reliability of their outputs. In particular, the error rate in APUFs can reach up to 10% under varying environmental conditions, which may cause protocol failures when PUFs are integrated with cryptographic functions [86]. Temperature changes affect transistor current levels, significantly altering signal propagation delays and, consequently, PUF responses. Researchers have proposed a Temporal Majority Voting (TMV) technique to reduce response variability, coupled with a reusable fuzzy extractor and associated helper data for error correction [87]. This approach achieved Bit Error Rates (BERs) of less than 1.5% under optimal temperatures and 8% under extreme conditions.
Anandakumar et al. evaluated their XOR-APUF design under temperature variations ranging from 0 °C to 85 °C and supply voltage variations between 0.95 V and 1.05 V, demonstrating perfect reliability (100%) through the use of the TMV scheme. Additionally, an Online Reliability Evaluation (ORE) method for APUFs implemented using a 40 nm process achieved 100% reliability across a voltage range of 0.8 V to 1.4 V and an operating temperature range of 0 °C to 80 °C [88]. Another PUF design, based on a Current Mirror Inverter, maintained a uniformity of 0.49 and an inter-Hamming distance (inter-HD) of 0.50 while operating under temperatures from −30 °C to 60 °C and voltage variations between 0.7 V and 1.5 V [89].

2.3. Research Methodology

Figure 5 illustrates the proposed authentication scheme, in which the FPGA device embeds a PUF alongside a machine learning (ML) model. During the initial setup, a dataset comprising challenges, their corresponding PUF responses, and timestamps is extracted to train the selected ML model. This framework offers a structured approach using Python 3.12.3 native libraries to identify the most suitable ML model for authentication, key generation, and security tasks. By training the selected algorithm on diverse datasets that might not be clean, may be noisy, and may have corrupted inputs, the model’s robustness against errors is significantly enhanced.

2.3.1. CRP Data Generation and Collection

The dataset comprises 100,000 challenge–response pairs (CRPs) stored in a single .csv file. Each row contains the challenge input applied to the APUF and the corresponding 1-bit response. Since all entries are aligned by their row offsets, the data in each column is directly related; for example, the first line shows the first challenge and its resulting response. Both challenge and response signals were captured from the input/output pins of the FPGA using a 16-channel logic analyzer and then exported to a .csv file. The CRPs were generated sequentially at the circuit level, with a 20 ns interleaving period between each pair.
Correlation tests quantify the similarity between different variables, making them invaluable for assessing the security of PUFs. If an attacker gains access to a subset of highly correlated secret bits, they may be able to infer the remaining bits. A correlation matrix heat map of our APUF CRPs is shown in Figure 6 to visualize the relationship among response bits across the entire dataset. For a robust PUF, all off-diagonal entries in the correlation matrix should be close to zero, indicating minimal mutual information. Any pronounced off-diagonal correlations could reveal structural weaknesses, potentially enabling attackers to build predictive models. The diagonal of the heat map naturally consists of ones since each bit is perfectly correlated with itself, but near-zero off-diagonal values characterize the ideal defense.

2.3.2. Data Preprocessing

Data and feature engineering-based preprocessing steps were performed to ensure high-quality and usable data. In the data engineering phase, raw CRP measurements were transformed into a clean, well-structured dataset. This involved removing or adjusting outliers, imputing missing values, smoothing random noise, and correcting inconsistencies to ensure the data was accurate and reliable. During the feature engineering stage, model-specific variables were extracted and tailored.

2.3.3. Dataset Splitting

To estimate the performance of the intended ML model, the CRP dataset was split into a training set and a testing set. Typically, 80% of the total dataset is used for training, and the remaining 20% is used for testing. This split is crucial for assessing the vulnerability of a PUF to modeling attacks, where the model must predict responses for previously unseen challenges.

2.3.4. Model Selection and Training

To model PUF CRP data and predict their response outputs, we selected five machine learning algorithms: Support Vector Machine (SVM), logistic regression (LR), Multi-Layer Perceptron (MLP), random forest, and gradient boosting. Each algorithm is thoroughly described in the following subsections, including the governing equations.

2.4. ML Algorithms

2.4.1. Support Vector Machine (SVM)

SVM, introduced by Vapnik, is a supervised learning algorithm that builds a model from labeled training data to make classification decisions [90]. An SVM seeks the optimal hyperplane a · x + b = 0 ,   x i R n that best separates the data points x i such that all points of a given class lie on the same side of the plane. The resulting decision function is y ( x ) = sign ( a · x + b ) , where sign is a function that returns +1 or −1 depending on the input sign. During training, each sample x i is paired with its class label y i , and the algorithm identifies the hyperplane that maximizes the margin between classes by solving an optimization problem over the training set [91]. At the end of the training cycle, the SVM yields the support vectors that derive the optimal hyperplane and the weights a i associated with each input feature for predicting the class label y. A linear SVM classifier, once trained, relies solely on a weight vector and a bias term to operate. Even a modest microcontroller can compute ⟨a, x⟩ + b with minimal RAM/flash memory requirement. The resource-constrained IoT devices can serve as efficient classifiers with limited power and computational requirements. SVMs can also dynamically update the training patterns whenever a new pattern occurs during classification. SVM treats every feature of data equally [92].

2.4.2. Logistic Regression (LR)

The likelihood of an event and its applicability to classification problems is determined by LR. Data prediction is carried out through the application of statistics principles of previously observed datasets. A logistic sigmoid function accepts input data and outputs an integer between zero and one [93,94]. LR is an iterative ensemble learning process that integrates multiple classifiers for evaluating the relationship between various independent variables and the categorical dependent variable [95]. The sigmoid, or logistic function of Equation (6), plays a crucial role in logistic regression by transforming predicted values into probabilities.
y ( x ) = 1 1 + e 2
The function y ( x ) represents a predicted probability ranging from 0 to 1, where x is the input, e is the base of the natural logarithm, and y is the output value. In device authentication, the response bit is either ‘0’ or ‘1’, making it a binary classification task. LR is a commonly used and efficient algorithm for binary classification in machine learning. As a binary classifier, logistic regression takes multiple inputs in the form of a feature vector X = ( x 1 , x 2 , , x n ) and produces an output based on the classifier’s function defined as Y = g ( w 0 + w 1 x 1 + w 2 x 2 + + w n x n ) . Usually, LR uses the y ( x ) of Equation (6) to make Y close to 0 or 1. Arbiter PUFs can be modeled by LR with high prediction accuracy [96,97].

2.4.3. Random Forest (RF)

RF is a supervised classification algorithm that creates forests with many decision trees. Numerous decision trees ensemble together to form a random forest, and its prediction is based on the average predictions of each component tree [98]. Three hyperparameters are set before training the RF algorithm: node size, the number of trees, and the number of features sampled. Each ensemble tree includes a data sample drawn from the training set with a replacement called the bootstrap sample. About one-third of the training data is set aside as test data, known as the out-of-bag (OOB) sample. Further randomness of training data is introduced through the “bagging” feature. The classification attributes of RF are leveraged in anomaly detection, user-to-root attack detection, remote-to-local attack detection, etc. However, RF performance deteriorates if the number of trees exceeds a threshold, making the algorithm slow and ineffective in real time [99].
Assume a dataset given in Equation (7),
D = x i , y i i = 1 N
where x i = x i 1 , x i 2 , , x i d is a d-dimensional feature vector, and y i { 0 , 1 } is used for binary classification. In a bootstrap sampling, each tree T ( b ) draws a sample D ( b ) D .
The RF prediction for an input x is given for the classification and probability output in Equations (8) and (9), respectively.
y ^ = mode T ( 1 ) ( x ) , T ( 2 ) ( x ) , , T ( B ) ( x )
and
P ( y = 1 x ) = 1 B b = 1 B 1 T ( b ) ( x ) = 1
Moreover, RF minimizes the classification error, as shown in Equation (10).
E = 1 N i = 1 N y ^ i , y i

2.4.4. Multi-Layer Perceptron (MLP)

An MLP is a type of feedforward artificial neural network (ANN) consisting of an input layer, one or more hidden layers, and an output layer, with each hidden layer comprising numerous interconnected neurons. During training, each neuron computes a weighted sum of its inputs, applies a nonlinear activation function, and transmits the output to the next layer. Key design parameters include the number of hidden layers, the number of neurons per layer, and the choice of activation functions. The optimization process often employs grid search and cross-validation techniques; however, it requires a substantial amount of labeled data as well as significant computational resources. On the downside, MLPs are prone to overfitting and may not perform well against rapidly evolving attack strategies. Their effectiveness is typically evaluated using metrics such as accuracy, precision, recall, and F1 score [100].
The summation and activation functions at each node of the hidden layers of MLP are illustrated using Equation (11) [101].
s m = i = 1 N w i m × f n + b m
where w i m is the connection’s weight between the first node f n and the hidden node, while b m is the bias associated with the hidden node m. The output node y m is represented by Equation (12) below.
y m = func sig ( s m )
where func sig is a sigmoid function and computed using Equation (13).
func sig = 1 1 + e s m
The ultimate output function from node ‘m’ is given below in Equation (14)
ally m = i = 1 m w i m × y m + b m
and the final output is
finaly m = func sig ( a l l y m )

2.4.5. Gradient Boosting (GB)

GB, also known as stochastic gradient boosting or a gradient boosting machine, combines gradient descent with ensemble boosting methods to build a sequence of decision trees that progressively refine model accuracy. In this method, many weak learners are trained and combined to form a single, powerful predictor. GB builds a robust predictive model that identifies malicious behaviors or intrusions based on patterns in sensor and network traffic data. Boosting is an ensemble supervised learning approach that improves model performance by combining weak learners during the gradient boosting process. In contrast to the bagging technique, which draws random samples to reduce variance, boosting prioritizes data points based on past errors to lower bias [102,103]. GB optimizes a loss function by minimizing the gradients of the loss for the predictions. However, GB is prone to overfitting and requires the careful tuning of hyperparameters. The loss function in Equation (16) optimizes an objective function L , which includes a loss term and a regularization term,
L = i = 1 n l ( y i , y ^ i ) + k = 1 K Ω ( f k )
where ł ( y i , y ^ i ) is the loss function, and Ω ( f k ) represents the regularization applied to each tree.

3. Edge Artificial Intelligence

The workload on edge devices varies dynamically with time and location. Therefore, EC must be optimized for computational offloading, resource allocation, latency improvement, energy consumption, and overall user experience. Integrating AI with EC enables the storage and processing of large amounts of IoT data, providing application services in a decentralized, real-time mode with intelligent decision-making capabilities [104]. Hua et al. outline the motivation for combining AI and EC, highlighting the mutual benefits of this integration [105,106].
  • AI-driven solutions address challenges such as task scheduling, resource management, delay reduction, energy efficiency, and privacy and security concerns encountered during the development of EC.
  • Cloud-based AI training and inference can lead to considerable delays and raise concerns regarding data privacy and security. By hosting AI tasks closer to the edge nodes, EC enhances stability, reliability, and user experience, effectively addressing these challenges.
The complexity, scale, and precision of AI models vary significantly, and even efficient algorithms may not always be sufficient. Edge devices host a diverse range of AI algorithms, such as regression and classification models, clustering techniques, and natural language processing (NLP) algorithms, which are driven by recent innovations and real-world applications. Despite the promising advantages of Edge AI, its deployment and usability face several roadblocks, as discussed below:
  • The management of large volumes of data in Edge AI presents a major challenge, as edge devices frequently collect real-time data that may be incomplete or noisy, leading to inaccurate predictions and reduced performance.
  • Compatibility challenges arise due to the diverse nature of hardware, software, and communication protocols. Edge devices have distinct specifications, architectures, and interfaces, making seamless integration with other devices and systems complex and non-trivial.
  • The integration of Edge AI with other systems introduces data security threats. Edge devices often handle sensitive information, such as personal health records, financial data, and biometric details, making them prime targets for data breaches, cyberattacks, and privacy violations.
  • Edge AI systems frequently encounter scalability challenges that affect performance, reliability, and flexibility. Techniques such as load balancing, parallel processing, and distributed computing help mitigate these issues while enhancing system efficiency.

3.1. AI for Security

AI-assisted EC enables the collection, storage, and processing of large volumes of IoT data. The convergence of EC and AI has given rise to a new discipline—AI at the edge, or edge intelligence. Edge AI provides faster, real-time decision-making capabilities in decentralized, resource-constrained environments [104]. AI models, as illustrated in Figure 7, hosted at the network edge, leverage machine learning (ML) algorithms that dynamically learn from data patterns to identify known threat signatures and predict previously unseen threats. These algorithms establish a baseline of normal behavior for edge devices and network segments through continuous monitoring and analysis of IoT data. Early-stage anomaly detection enables quick investigation and timely responses, minimizing the impact of potential security breaches. AI algorithms can also apply advanced encryption techniques to data at the edge, ensuring compliance with data protection regulations such as the General Data Protection Regulation (GDPR) and California Consumer Privacy Act (CCPA). AI models—such as neural networks and anomaly detection algorithms—can detect fraudulent manipulation of sensor data or unauthorized access to control systems in industrial environments [107]. Edge AI encompasses four key domains: (i) edge caching, (ii) edge training, (iii) edge inference, and (iv) edge offloading. Edge caching collects, generates, and stores data from edge devices and their surroundings to support edge applications. Edge training utilizes local data and computational resources at the edge to mitigate the need for high-bandwidth data transfers to the cloud. Edge inference focuses on running AI algorithms directly at the edge to enable real-time decision-making. Edge offloading distributes computationally intensive tasks by relocating them from edge devices to the cloud for further processing [108].
AI models at the edge enable edge devices to make quicker decisions without relying on cloud services [109]. Thus, AI potentially offers IoT security, including the following:
  • Threat detection:It detects unidentified threats in real time by analyzing network traffic, system logs, and other data sources.
  • Access control: Reinforces access control mechanisms at edge devices by analyzing user behavior and identifying anomalies using AI.
  • User authentication: User behavior and irregularities, like login attempts, can be monitored and detected using AI-based biometric data analysis, such as fingerprint recognition, facial recognition, and voice identification.
  • Network security: AI effectively examines and responds to real-time cyberattacks by analyzing network traffic data from numerous sources, such as firewalls and intrusion detection systems.
  • Vulnerability detection: AI identifies vulnerabilities in IoT devices and applications by examining code and configuration files. It can simulate attacks to uncover weaknesses and provide security updates or patch recommendations.
  • Predictive maintenance: AI can predict potential device failures and security vulnerabilities by analyzing historical data and patterns.
However, security measures should be integrated throughout the entire AI lifecycle, from training to inference, as AI systems are vulnerable to various threats. These include evasion attacks, which manipulate models to produce incorrect outputs; data poisoning, where adversaries corrupt the training data; and privacy threats, such as membership inference and model inversion attacks, which can compromise sensitive information [110].
As shown in Figure 8, machine learning (ML) is a subfield of Artificial Intelligence (AI) capable of identifying meaningful patterns or relationships from data. In contrast, DL is a subfield of ML that uses artificial neural networks (ANNs) to structure algorithms in a way that mimics human learning. Generative AI (GAI) produces original content by analyzing and learning patterns from existing data—generating text, images, videos, or code that resemble the training data without exactly replicating it [111]. ML algorithms can be broadly classified into three categories: supervised learning, unsupervised learning, and reinforcement learning [112,113,114,115].
  • Supervised learning: ML algorithms process labeled training data to learn a function capable of mapping new data samples. Supervised learning is further categorized into classification and regression tasks. Classification involves mapping inputs to discrete values, whereas regression maps inputs to continuous values. Examples of classification-based supervised ML methods include Support Vector Machines (SVMs) and random forests, while linear regression and logistic regression are commonly used for regression tasks.
  • Unsupervised learning: This detects patterns within input data without the need for labeled training data. It is widely applied in enhancing network security functions such as authentication, access control, anti-jamming strategies, and malware detection. Unsupervised learning is typically divided into two main categories: clustering and dimensionality reduction. Clustering organizes similar data instances based on shared features, while dimensionality reduction aims to reduce the number of features in a dataset while retaining as much relevant information as possible. Common unsupervised ML techniques include k-means and k-nearest neighbors (KNN) for clustering and principal component analysis (PCA) and singular value decomposition (SVD) for dimensionality reduction.
  • Reinforcement learning (RL): This is a feedback-driven machine learning approach that enables learning through trial and error. In IoT networks, RL helps nodes autonomously make decisions for various networking tasks such as routing, scheduling, and resource allocation. The RL agent learns environmental dynamics solely from collected data without any prior knowledge to determine the best actions for achieving networking objectives. Common RL techniques include Monte Carlo and Temporal Difference (TD) learning for prediction, as well as SARSA and Q-learning for control.
Hussain et al. introduced a mobile edge computing (MEC)-based anomaly detection framework that utilizes AI to enhance the efficiency of anomaly detection in cellular networks. Their approach employs a deep Convolutional Neural Network (CNN) with fewer parameters compared to a feedforward deep neural network (DNN), achieving an accuracy range of 70% to 96% [116]. Jedidi et al. proposed a Dynamic Trust Security Approach (DTSA) that integrates AI to strengthen security and trust management for Industrial Internet of Things (IIoT) devices in edge computing environments [117]. Their AI model evaluates key metrics, including Mean Time Between Failures (MTBFs), Packet Loss Rate (PLS), Response Time (RT), and Energy Efficiency (EE), to assign trust scores to IIoT devices.
Kohli et al. introduced an advanced intrusion detection system (IDS) to improve the security of Intelligent Transportation Systems (ITSs). This framework combines AI and EC to identify cyber threats in vehicular networks by leveraging statistical thresholds and deep learning techniques. It employs Convolutional Neural Networks (CNNs) to model normal vehicular behavior and detect anomalies using Reconstruction Error Analysis, achieving an accuracy range of 97.5% to 100% [118]. Yao et al. developed a security framework called Authentication, Detection, and Defense for Secure EC (A2DSEC), which operates through three key modules: authentication, detection, and defense. This framework combines blockchain-based authentication, anomaly detection, and proactive defense mechanisms, effectively identifying DDoS and injection attacks within 1.1 to 1.5 s [119]. The integration of blockchain and edge computing (IBEC) enhances the functionality of resource-constrained edge devices by utilizing edge servers as blockchain nodes and miners. As a decentralized ledger, blockchain leverages peer-to-peer (P2P) networks, cryptographic techniques, and distributed storage, ensuring both security and immutability [120].

Federated Learning (FL)

An innovative framework conceived by Google researchers, called Federated Learning (FL), enables data holders to collaboratively train an ML model without the need to share their local datasets. This approach effectively mitigates key challenges related to communication overhead, data privacy, and legal compliance. The FL training process involves five stages, beginning with a selection of an appropriate ML model to be hosted on an edge-based centralized FL server. Next, the server deploys client selection algorithms, such as Federated Client Selection (FedCS), to randomly choose a subset of available clients [121]. Subsequently, the current global model is distributed among the selected clients for local training. These clients train the model on their local datasets and retransmit the updated model parameters back to the edge servers. The centrally located edge servers then aggregate the updated parameters using techniques like Federated Averaging (FedAvg) to yield an improved global model [122].
FL is typically classified into three categories: horizontal FL, which involves clients with similar feature spaces but different data samples; vertical FL, which includes clients with common data samples but different feature sets; and federated transfer learning, which involves clients with datasets that differ in both sample space and feature space [48]. Let N = { 1 , 2 , , K } denote a set of K clients having dataset D k K each. The aggregate weight of the models at the client site is determined by the ratio of the size of the data set used for training the models to the size of the entire training data set and is given by Equation (17) [123]:
w t G = k = 1 K D k | D | w t k
Here, w t k and w t G are the local models of client k and the global model, respectively, in the t t h training round. D k is the dataset at client k, and D is the entire set of training data on all K clients given by D I = k = 1 K D k .
FL intends to learn the model under the edge devices’ storage and limited processing capability constraints, periodically update the model parameters, and communicate them to edge or cloud servers. Thus, the goal of FL is to minimize the objective function or average training loss given by Equation (18) [124],
w min F ( w ) , F ( w ) = k = 1 m p k F k ( w )
where m is the total number of devices participating in training, p k specifies the relative weight of influence attributed to each device, and F k ( w ) in Equation (19) is the local objective function of the kth device.
F k ( w ) = 1 n k i = 1 n k f i w , x i , y i
where n k is the data volume of the kth device, and f i w , x i , y i is the loss function of the model with the parameter w on the instance (xi, yi) in the kth device-local dataset. The optimization process within FL focuses on minimizing the value associated with the local loss function.

3.2. Edge AI Platforms

A robust edge AI platform should be capable of catering to diverse project needs, reducing latency, efficiently processing data, minimizing power consumption, featuring a compact and lightweight design, and providing effective heat dissipation. Several platforms are available to meet these requirements, including PyTorch Mobile, OpenVINO, NVIDIA Jetson, BrainChip Akida™, Caffe2, and MXNet. TensorFlow Lite is particularly valuable for developers aiming to deploy machine learning models on edge devices. OpenVINO (Open Visual Inference and Neural Network Optimization) is an open-source toolkit specifically developed to optimize and deploy deep learning models from the cloud to edge environments. Developed by Intel, it accelerates AI inference across various applications such as generative AI, video, audio, and language processing while supporting models from popular frameworks like PyTorch, TensorFlow, and ONNX. OpenVINO’s deployment versatility spans CPUs, GPUs, and FPGAs, with costs influenced by model size, complexity, and hardware selection. Notably, it offers optimized inference performance while consuming less power than conventional CPU-based solutions.
The standard OpenVINO workflow, as depicted in Figure 9, consists of two key components: the Model Optimizer and the Inference Engine. The Model Optimizer is a command-line tool that operates across platforms to convert pre-trained models into an Intermediate Representation (IR) optimized for Intel hardware. This IR format is then utilized by the Inference Engine, a set of libraries that provide a unified API for performing inferences across different hardware platforms. The Inference Engine processes the IR and enables the seamless integration of AI inferences into applications.

4. Proposed Method

Although edge AI/ML promises numerous benefits, it faces several roadblocks, including limited computational capabilities, data management challenges, model complexity and maintenance, resource allocation issues, and data privacy concerns [125]. PUFs are lightweight, hardware-based security solutions that can enhance trust, data security, and privacy in edge AI without requiring substantial computational resources. When combined with ML-based anomaly detection, PUFs can help identify adversarial attacks. AI models trained on challenge–response pairs generated by PUFs can detect tampering or unauthorized access attempts [126].
As shown in the proposed model in Figure 10, an arbiter PUF is implemented on an Intel Cyclone IV FPGA to generate unique device signatures used to encrypt AI or ML models before deployment. This method ensures that AI models are executed only on authenticated devices, preventing malicious reverse engineering attempts. IoT devices typically exhibit recognizable patterns in user interactions and communication with edge/cloud servers. Machine learning models can be trained to analyze these interaction patterns and network traffic characteristics. Such ML-based solutions are commonly applied for user authentication, data access control, and detecting DDoS attacks. Supervised ML techniques—including Support Vector Machines (SVM), Naïve Bayes, k-nearest neighbors, deep neural networks, and random forests—are widely used to identify network intrusions, malware, DDoS attacks, and spoofing attempts.

Implementation of APUF

Originally, FPGAs were primarily used for proof-of-concept (PoC) and prototype development. However, they continue to be valuable in applications that demand high performance, low latency, and real-time adaptability. As a result, FPGAs are especially well-suited for tasks that require rapid prototyping, hardware acceleration, customization, and long-term reliability. Cyclone IV devices are composed of logic elements (LEs), which include four-input lookup tables (LUTs), memory blocks, and multipliers, as listed in Table 2. The M9K memory block provides 9 Kbits of embedded SRAM and can be configured as single-port, simple dual-port, or true dual-port RAM, as well as FIFO buffers or ROM. In delay-based PUFs, such as arbiter PUFs implemented on FPGAs, the propagation delays of input and output signals through LUTs determine their responses. Additionally, the placement of LUTs on the FPGA fabric and the routing between them impact propagation delays, ultimately affecting the behavior of the arbiter PUF.
The internal architecture of LEs in Altera Cyclone IV FPGAs is depicted in Figure 11. Each LE comprises a flip-flop, a lookup table (LUT) configurable as either a four-input, one-output, or a three-input, one-output LUT, and fast carry logic. A total of 16 LEs are stacked into a Logic Array Block (LAB), and depending on the FPGA family version, the device may include up to 8000 LABs. Each LE contains a configurable register that can be set to operate as a D, T, JK, or SR flip-flop. The clock and clear input signals to these registers can be sourced from the global clock network, general-purpose I/O pins, or internal logic. LEs can be configured to operate in two distinct modes. The normal mode is used to implement general-purpose logic and combinational functions, supporting up to four data inputs from the local interconnect of the LAB. The second operating mode is the arithmetic mode, which is optimized for arithmetic functions such as adders, counters, accumulators, and comparators. In arithmetic mode, the LE functions as a 2-bit full adder with a carry chain, and the output is available in both registered and unregistered versions from the LUT. This versatile structure of the LEs enables designers to optimally implement a wide range of digital logic and arithmetic operations.
Intel Quartus Prime 18.1 software configures the operating mode of each LE based on the design logic, optimization constraints, and synthesis settings. FPGA design implementation begins with the logic synthesis stage, followed by a planning stage that manages periphery placement, clock allocation, and early global retiming. During the subsequent placement stage, LEs are assigned and grouped into Adaptive Logic Modules (ALMs) and LABs on the FPGA. This stage also ensures the correct positioning of block RAM and DSP elements within the FPGA fabric, which is in accordance with the user’s design. Finally, in the routing stage, all connections in the design are established using the programmable routing fabric of the FPGA, ensuring proper signal flow across the chip [127].

5. Experimental Results and Analysis

5.1. Experimental Setup

The robustness of APUFs against malicious attacks is evaluated by deploying the proposed architecture on the ALINX AX4010 FPGA development board. The distinct responses of APUFs to challenge inputs serve as a means of device authentication, preventing unauthorized access to IoT networks. The design is implemented using Quartus® Prime Standard Edition version 18.1, as depicted in Figure 12. A 64-bit APUF is implemented on an Altera, Cyclone IV EP4CE10F17C8 FPGA from Intel, Santa Clara, CA, USA (60 nm technology, 1.2 V, 256-pin FBGA). In our experiments, an FPGA is designated as an IoT node incorporating an embedded PUF for authentication. Since the objective of this study is to leverage default routing, we allow the FPGA tool to place APUF components autonomously without applying placement constraints, manual routing, or hard macros.
The proposed APUF-based authentication scheme utilizes an LFSR-based random generator, implemented on the FPGA, which inputs a 64-bit challenge to the APUF circuit, and the corresponding responses are collected. FPGA resource utilization for APUF implementation includes 81 (out of 10,320) LEs, 129 registers, 68 (out of 92) available I/Os, and a maximum frequency ( f max ) of 98.97 MHz. A database of approximately 100,000 unique challenge-response pairs (CRPs) is collected using a logic analyzer and stored in a .csv file. During the authentication stage, a challenge from the CRP database is presented to the PUF, and the generated response is compared with the stored response associated with that challenge for verification.
The outline of the complete flow for generating the PUF response is shown in Algorithm 1. The APUF and pseudo-random generator are modeled using the VHDL programming language. The design is then synthesized into a circuit comprising the LEs available on the FPGA chip. Logical synthesis optimizes the design and maps it onto FPGA resources such as LEs, ALMs, or dedicated logic blocks. All design files, including third-party netlists, are integrated into a single project database. The functional correctness of the synthesized design is verified through RTL simulation without considering timing closure.
During the fitting stage, the Quartus Fitter tool automatically places the LEs, which may result in varying path delays in the APUF, regardless of the challenge inputs. However, the chip’s floorplan can be manually adjusted using the Chip Planner. Timing analysis is performed to estimate the propagation delays across all routing paths in the fitted circuit, followed by timing simulations. Finally, the designed circuit is implemented on the target FPGA chip by programming the configuration switches to configure the LEs and establish the required wiring connections.
Algorithm 1. Arbiter PUF
 Input: N: number of challenge bits per PUF instance
  c h a l l e n g e [ N ] : vector of size N bits
  c l k : system clock signal
 Output: response bit
 /* ( Initialization (                                                         */
Futureinternet 17 00275 i001
The reproducibility of a PUF evaluates how consistently it generates the same response on a given device, like FPGAs. It is quantified by the intra-device Hamming distance (intra-HD), and a perfectly reproducible PUF achieves an average intra-HD of 0% [73]. Figure 13 represents the intra-HD metrics of the proposed APUF against CRP size, and it is benchmarked with the works of other researchers in Table 3, along with other performance metrics. Moreover, our extracted CRP data have an estimated proportion of 1’s(p) at 0.4928 and a Shannon entropy (H) of 0.9794, which is quite close to the ideal values of 0.5 and 1.
Figure 14 is a confusion matrix (also known as an error matrix), which is a visual representation of classification algorithm performance. It is one of the key evaluation tools used to assess how well a model performs. From this matrix, several performance metrics can be derived, such as precision, recall, and F1 score. The top left cell represents the number of true positives (TPs), indicating correctly predicted positive instances. The cell below TP shows false positives (FPs), which are negative instances incorrectly classified as positive. The top-right cell corresponds to false negatives (FNs), representing positive instances that were mistakenly predicted as negative. The bottom right cell indicates the true negatives (TNs), referring to correctly identified negative instances. The confusion matrices for four selected classifiers are used to derive model performance metrics, as shown in Table 4, using Equations (20)–(24).
Accuracy = TP + TN TP + FP + FN + TN
Precision = TP TP + FP
Recall = TP TP + FN
False Discovery Rate ( FDR ) = FP FP + TP
F 1 - Score = 2 · Precision · Recall Precision + Recall
In ML, parameters are generally categorized into model parameters and hyperparameters. Model parameters are learned from the training data, whereas the user predefines hyperparameters before the training process begins [135]. Hyperparameters influence what the model can learn and significantly affect its ability to generalize to unseen data [136]. Table 5 lists the tuning details of ML models used in our work.

5.2. Machine Learning-Based Modeling Attacks

The behavior of the PUFs can be modeled by analyzing their CRPs, thus making them vulnerable to ML attacks. Numerous ML algorithms, such as k-nearest neighbor (KNN), random forest (RF), logistic regression (LR), decision tree (DT), and Support Vector Machine (SVM), have been used to predict PUF responses, with SVMs being widely recommended. The resilience of the PUFs against ML-based attacks is an indicator of their security. The ML model was developed in Python 3.12.3 within a Version Control System (VCS) environment. It began with the collection and preprocessing of APUF CRP data, which is normalized and cleaned to suit ML purposes. Suitable models, such as SVM, LR, or a feedforward artificial neural network (ANN), an MLP model for device classification, supervised random forest clustering for anomaly detection, and an ensemble gradient boosting technique, were implemented using Python libraries like scikit-learn, pandas, and pypuf. The workflow proceeds with training and evaluating the model by dividing the data into training and testing sets, refining the model’s parameters, and evaluating accuracy metrics to ensure effective generalization to new challenges without overfitting. The extracted CRPs were used to train the intended ML model capable of predicting responses against specific challenges. Table 6 lists the prediction accuracy of APUF-based ML models available in the literature of varying CRP sizes. The results obtained by the researchers establish that accuracy does not significantly increase beyond 80% with additional CRPs, demonstrating the robust defense of the PUFs against such attacks.
The linear characteristics of delay-based PUFs, like APUFs, align well with SVM, which is trained on 80% of CRPs to predict specific response bits [140]. It is established that the ML models with an adequate number of CRPs can mimic the target device and gain access to sensitive data [141]. Next, we will discuss the resilience of APUFs against ML attacks, as well as the accuracy of ML models in predicting response outputs for random challenge inputs. The resistance of APUFs to modeling attacks is evaluated to determine how easily an attacker could predict the responses of the PUF based on its challenges. APUF CRPs were collected to test the modeling attack accuracy against various modeling techniques, such as gradient boosting, Multi-Layer Perceptron (MLP), Support Vector Machine (SVM), logistic regression (LR), and random forest, as shown in Figure 15.
The resilience of a strong PUF against ML attacks is a key indicator of its suitability in a secure IoT network. The resistance against ML attacks on APUF is established using various ML modeling techniques against varying CRP sample sizes, and this is summarized in Table 7. It verifies that the accuracy of SVM, LR, and gradient boosting does not go beyond 63%.

6. Conclusions

Hardware authentication is critical for edge computing and extremely important to instill trust and confidence among end users about their data privacy. The APUF leverages delay variations from inherent manufacturing variations, generating an exponential number of CRPs and, thus, making it suitable for authentication in numerous IoT applications. In this paper, we conducted a security analysis of silicon-based APUF devices. Due to the lack of standardized benchmark datasets for the performance evaluation of PUFs and establishing their resilience against authentication breaches, we designed an experimental setup that extracts CRPs from an FPGA-based APUF. The integration of PUFs with FPGAs provides a strong synergy, combining the security benefits of PUFs with the natural flexibility and rapid time-to-market advantages of FPGAs. The relationship between the CRP is established through ML analysis and modeling. Using the Scikit-learn framework, we launched attacks on the APUF using SVM, LR, and ensemble GB algorithms, achieving prediction accuracies of 61.1%, 63.5%, and 63%, respectively. The SVM working principle is based on maximum-margin classification, LR estimates outcomes based on probabilities using the logistic function, and ensemble GB builds a powerful model by iteratively combining multiple weak learners. The APUF design implemented on an Altera Cyclone IV FPGA was subjected to 64-bit input challenges from a Linear Feedback Shift Register (LFSR). A single-bit response from APUF was collected and saved against each challenge bit. This setup uses only 129 registers and 81 LEs, consuming less than 1% of the total resources of the FPGA. Approximately 100K CRPs were extracted and analyzed against security-based evaluation metrics such as uniformity, reliability, and ML-based model accuracy. APUFs are the natural choice for device authentication and cryptographic key generation in resource-limited environments of IoTs, and they are on the verge of notable advancements.
Future research on APUFs should focus on areas targeted to enhance their performance and capabilities, including the following:
  • A robust approach against temperature and voltage variations, in addition to aging-induced errors in APUF responses.
  • APUFs are vulnerable to newer attack forms, such as ML-based modeling. Research should focus on incorporating nonlinear or complex designs to complicate ML modeling attempts.
  • APUFs design approaches should be scalable and optimal in terms of area, power, and latency. Integration with 5G/6G networks, blockchains, and ML supports scalable, intelligent, and resilient security architectures.
  • The integration of APUFs with different PUF types can create hybrid systems that leverage complementary strengths to enhance the uniqueness and unpredictability of responses.
  • Advances in APUF technology will require standard evaluation and benchmarking metrics. Future research should be focused on creating testing frameworks that define comprehensive performance indicators for assessing uniqueness, reliability, and security.
  • Future works should focus beyond traditional silicon-based designs, as emerging materials and technologies could redefine APUFs.

Author Contributions

Conceptualization and design flow, A.M.S., M.R.I. and M.H.H.; methodology, A.M.S., M.H.H., M.R.I. and A.K.; formal analysis, A.M.S., S.A.Z. and M.H.H.; investigation, implementation, debugging, A.M.S., S.A.Z. and A.R.B.N.; resources, A.M.S., M.R.I. and A.K.; data curation, A.M.S. and M.H.H.; writing—original draft preparation, A.M.S. and M.H.H.; writing—review and editing, A.M.S., M.R.I., M.H.H., A.K., S.A.Z. and A.R.B.N.; visualization, A.M.S. and M.H.H.; supervision, M.R.I., M.H.H. and A.K.; project administration, A.M.S.; funding acquisition, A.M.S. and A.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work is part of the A’Sharqiyah University Oman-Internal Research Grant (IRG-16), 2024-26 “Intrusion detection in an IoT network through machine learning (ML) of hardware characteristics”.

Data Availability Statement

The original contributions presented in this study are included in the article. Further inquiries can be directed to the corresponding authors.

Conflicts of Interest

The authors declare no conflicts of interest.

Abbreviations

The following abbreviations are used in this manuscript:
AIArtificial Intelligence
ALMs Adaptive Logic Modules
ANNArtificial Neural Network
APUFArbiter PUF
ASICApplication-Specific Integrated Circuit
CCPACalifornia Consumer Privacy Act
CNNConvolutional Neural Network
CRPsChallenge-Response Pairs
DDoSDistributed Denial of Service
DNNDeep Neural Network
DPDifferential Privacy
DTSADynamic Trust Security Approach
ECEdge Computing
EEREqual Error Rate
EEEnergy Efficiency
FARFalse Acceptance Rate
FFPUFFeedback-Forward PUF
FLFederated Learning
FPGAField-Programmable Gate Array
FRRFalse Rejection Rate
GBGradient Boosting
GDPRGeneral Data Protection Regulation
HDHamming Distance
ICIntegrated Circuit
IDSIntrusion Detection Systems
LABLogic Array Block
LELogic Elements
LFSRLinear Feedback Shift Register
LPUFLightweight PUF
LRLogistic Regression
LUTsLookup Tables
MECMobile Edge Computing
MLMachine Learning
MLPMulti-Layer Perceptron
MTBFMean Time Between Failures
OTAOver-The-Air
PIIPersonally Identifiable Information
PUFsPhysical Unclonable Functions
QECCQuantum-Edge Cloud Computing
RFRandom Forest
RLReinforcement Learning
ROsRing Oscillators
SDASecure Data Aggregation
SMPCSecure Multi-Party Computation
SoCSystem-on-Chip
SVMSupport Vector Machines
TEEsTrusted Execution Environments
TPAThird-Party Auditing
VCSVersion Control System

References

  1. Schiller, E.; Aidoo, A.; Fuhrer, J.; Stahl, J.; Ziörjen, M.; Stiller, B. Landscape of IoT security. Comput. Sci. Rev. 2022, 44, 100467. [Google Scholar] [CrossRef]
  2. Albreem, M.A.; Sheikh, A.M.; Alsharif, M.H.; Jusoh, M.; Yasin, M.N.M. Green Internet of Things (GIoT): Applications, practices, awareness, and challenges. IEEE Access 2021, 9, 38833–38858. [Google Scholar] [CrossRef]
  3. Albreem, M.A.; Sheikh, A.M.; Bashir, M.J.; El-Saleh, A.A. Towards green Internet of Things (IoT) for a sustainable future in Gulf Cooperation Council countries: Current practices, challenges and future prospective. Wirel. Netw. 2023, 29, 539–567. [Google Scholar] [CrossRef]
  4. Svorobej, S.; Bendechache, M.; Griesinger, F.; Domaschka, J. Orchestration from the Cloud to the Edge. In The Cloud-to-Thing Continuum: Opportunities and Challenges in Cloud, Fog and Edge Computing; Springer: Berlin/Heidelberg, Germany, 2020; pp. 61–77. [Google Scholar]
  5. Liu, F.; Tang, G.; Li, Y.; Cai, Z.; Zhang, X.; Zhou, T. A survey on edge computing systems and tools. Proc. IEEE 2019, 107, 1537–1562. [Google Scholar] [CrossRef]
  6. Yahuza, M.; Idris, M.Y.I.B.; Wahab, A.W.B.A.; Ho, A.T.; Khan, S.; Musa, S.N.B.; Taha, A.Z.B. Systematic review on security and privacy requirements in edge computing: State of the art and future research opportunities. IEEE Access 2020, 8, 76541–76567. [Google Scholar] [CrossRef]
  7. Rupanetti, D.; Kaabouch, N. Combining Edge Computing-Assisted Internet of Things Security with Artificial Intelligence: Applications, Challenges, and Opportunities. Appl. Sci. 2024, 14, 7104. [Google Scholar] [CrossRef]
  8. Douch, S.; Abid, M.R.; Zine-Dine, K.; Bouzidi, D.; Benhaddou, D. Edge computing technology enablers: A systematic lecture study. IEEE Access 2022, 10, 69264–69302. [Google Scholar] [CrossRef]
  9. Kolevski, D.; Michael, K. Edge Computing and IoT Data Breaches: Security, Privacy, Trust, and Regulation. IEEE Technol. Soc. Mag. 2024, 43, 22–32. [Google Scholar] [CrossRef]
  10. Zhang, J.; Chen, B.; Zhao, Y.; Cheng, X.; Hu, F. Data security and privacy-preserving in edge computing paradigm: Survey and open issues. IEEE Access 2018, 6, 18209–18237. [Google Scholar] [CrossRef]
  11. El Kafhali, S.; El Mir, I.; Hanini, M. Security threats, defense mechanisms, challenges, and future directions in cloud computing. Arch. Comput. Methods Eng. 2022, 29, 223–246. [Google Scholar] [CrossRef]
  12. Gill, S.S.; Golec, M.; Hu, J.; Xu, M.; Du, J.; Wu, H.; Walia, G.K.; Murugesan, S.S.; Ali, B.; Kumar, M.; et al. Edge AI: A taxonomy, systematic review and future directions. Clust. Comput. 2025, 28, 1–53. [Google Scholar] [CrossRef]
  13. He, D.; Chan, S.; Guizani, M. Security in the Internet of Things Supported by Mobile Edge Computing. IEEE Commun. Mag. 2018, 56, 56–61. [Google Scholar] [CrossRef]
  14. Porambage, P.; Kumar, T.; Liyanage, M.; Partala, J.; Lovén, L.; Ylianttila, M.; Seppänen, T. Sec-EdgeAI: AI for edge security Vs security for edge AI. In Proceedings of the 1st 6G Wireless Summit, Levi, Finland, 24–26 March 2019. [Google Scholar]
  15. El-Saleh, A.A.; Sheikh, A.M.; Albreem, M.A.; Honnurvali, M.S. The internet of medical things (IoMT): Opportunities and challenges. Wirel. Netw. 2025, 31, 327–344. [Google Scholar] [CrossRef]
  16. Wang, C.; Yuan, Z.; Zhou, P.; Xu, Z.; Li, R.; Wu, D.O. The Security and Privacy of Mobile-Edge Computing: An Artificial Intelligence Perspective. IEEE Internet Things J. 2023, 10, 22008–22032. [Google Scholar] [CrossRef]
  17. Liu, H.; Zhang, S.; Zhang, P.; Zhou, X.; Shao, X.; Pu, G.; Zhang, Y. Blockchain and federated learning for collaborative intrusion detection in vehicular edge computing. IEEE Trans. Veh. Technol. 2021, 70, 6073–6084. [Google Scholar] [CrossRef]
  18. Xia, Q.; Ye, W.; Tao, Z.; Wu, J.; Li, Q. A survey of federated learning for edge computing: Research problems and solutions. High-Confid. Comput. 2021, 1, 100008. [Google Scholar] [CrossRef]
  19. Nguyen, D.C.; Ding, M.; Pham, Q.V.; Pathirana, P.N.; Le, L.B.; Seneviratne, A.; Li, J.; Niyato, D.; Poor, H.V. Federated learning meets blockchain in edge computing: Opportunities and challenges. IEEE Internet Things J. 2021, 8, 12806–12825. [Google Scholar] [CrossRef]
  20. Tulkinbekov, K.; Kim, D.H. Blockchain-enabled approach for big data processing in edge computing. IEEE Internet Things J. 2022, 9, 18473–18486. [Google Scholar] [CrossRef]
  21. Bhatta, N.P.; Amsaad, F.; Singh, H.; Sherif, A.; Hopkinson, K. Enhancing Hardware Security: An Analysis of SRAM-PUFs. In Proceedings of the NAECON 2023-IEEE National Aerospace and Electronics Conference, Dayton, OH, USA, 28–31 August 2023; pp. 174–180. [Google Scholar]
  22. Ang, K.L.M.; Seng, J.K.P. Embedded Intelligence: Platform Technologies, Device Analytics, and Smart City Applications. IEEE Internet Things J. 2021, 8, 13165–13182. [Google Scholar] [CrossRef]
  23. Manan, A. Implementation of image processing algorithm on fpga. Akgec J. Technol. 2006, 2, 25–28. [Google Scholar]
  24. Manan, A. Efficient 16 nm SRAM Design for FPGA’s. In Proceedings of the 2018 5th International Conference on Signal Processing and Integrated Networks (SPIN), Noida, India, 22–23 February 2018; pp. 457–461. [Google Scholar]
  25. Cao, Y.; Xu, J.; Wu, J.; Wu, S.; Huang, Z.; Zhang, K. Advances in Physical Unclonable Functions Based on New Technologies: A Comprehensive Review. Mathematics 2023, 12, 77. [Google Scholar] [CrossRef]
  26. Shao, H.; Fu, B.; Yang, J.; Luo, W.; Su, C.; Fu, Z.; Tang, K.; Huang, R. IMCE: An In-Memory Computing and Encrypting Hardware Architecture for Robust Edge Security. In Proceedings of the 2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), Valencia, Spain, 25–27 March 2024; pp. 1–6. [Google Scholar] [CrossRef]
  27. Shi, W.; Cao, J.; Zhang, Q.; Li, Y.; Xu, L. Edge computing: Vision and challenges. IEEE Internet Things J. 2016, 3, 637–646. [Google Scholar] [CrossRef]
  28. Hassan, N.; Yau, K.L.A.; Wu, C. Edge computing in 5G: A review. IEEE Access 2019, 7, 127276–127289. [Google Scholar] [CrossRef]
  29. Yu, W.; Liang, F.; He, X.; Hatcher, W.G.; Lu, C.; Lin, J.; Yang, X. A survey on the edge computing for the Internet of Things. IEEE Access 2017, 6, 6900–6919. [Google Scholar] [CrossRef]
  30. Shi, W.; Pallis, G.; Xu, Z. Edge computing [scanning the issue]. Proc. IEEE 2019, 107, 1474–1481. [Google Scholar] [CrossRef]
  31. Alwarafy, A.; Al-Thelaya, K.A.; Abdallah, M.; Schneider, J.; Hamdi, M. A survey on security and privacy issues in edge-computing-assisted internet of things. IEEE Internet Things J. 2021, 8, 4004–4022. [Google Scholar] [CrossRef]
  32. Sheikh, A.M.; Islam, M.R.; Habaebi, M.H.; Zabidi, S.A.; Bin Najeeb, A.R.; Kabbani, A. A Survey on Edge Computing (EC) Security Challenges: Classification, Threats, and Mitigation Strategies. Future Internet 2025, 17, 175. [Google Scholar] [CrossRef]
  33. Zhao, Y.; Wang, W.; Li, Y.; Meixner, C.C.; Tornatore, M.; Zhang, J. Edge computing and networking: A survey on infrastructures and applications. IEEE Access 2019, 7, 101213–101230. [Google Scholar] [CrossRef]
  34. Kommera, A.R. The Role of Distributed Systems in Cloud Computing: Scalability, Efficiency, and Resilience. NeuroQuantology 2013, 11, 507–516. [Google Scholar]
  35. Sharma, R.; Chan, C.A.; Leckie, C. Probabilistic Distributed Intrusion Detection For Zero-Trust Multi-Access Edge Computing. In Proceedings of the NOMS 2023-2023 IEEE/IFIP Network Operations and Management Symposium, Miami, FL, USA, 8–12 May 2023; pp. 1–9. [Google Scholar] [CrossRef]
  36. Hong, J.; Hong, Y.G.; de Foy, X.; Kovatsch, M.; Schooler, E.; Kutscher, D. Internet of Things (IoT) Edge Challenges and Functions RFC 9556 Internet Engineering Task Force: 2024. Available online: https://www.rfc-editor.org/info/rfc9556 (accessed on 16 April 2025).
  37. Sheikh, A.M.; Islam, M.R.; Habaebi, M.H.; Kabbani, A.; Zabidi, S.A.; bin Najeeb, A.R. Securing the IoT Edge Devices Using Advanced Digital Technologies. Asian J. Electr. Electron. Eng. 2024, 4, 52–60. [Google Scholar] [CrossRef]
  38. Singh, S.; Sulthana, R.; Shewale, T.; Chamola, V.; Benslimane, A.; Sikdar, B. Machine-learning-assisted security and privacy provisioning for edge computing: A survey. IEEE Internet Things J. 2021, 9, 236–260. [Google Scholar] [CrossRef]
  39. Johnsson, A.; Nordling, A. Edge Computing Security for IoT: A Systematic Literature Review. In Economics of Grids, Clouds, Systems, and Services; Springer: Berlin/Heidelberg, Germany, 2023. [Google Scholar]
  40. Fazeldehkordi, E.; Grønli, T.M. A survey of security architectures for edge computing-based IoT. IoT 2022, 3, 332–365. [Google Scholar] [CrossRef]
  41. Ma, Y.; Liu, L.; Liu, Z.; Li, F.; Xie, Q.; Chen, K.; Lv, C.; He, Y.; Li, F. A Survey of DDoS Attack and Defense Technologies in Multi-Access Edge Computing. IEEE Internet Things J. 2024, 12, 1428–1452. [Google Scholar] [CrossRef]
  42. Smith, R.; Palin, D.; Ioulianou, P.P.; Vassilakis, V.G.; Shahandashti, S.F. Battery draining attacks against edge computing nodes in IoT networks. Cyber-Phys. Syst. 2020, 6, 96–116. [Google Scholar] [CrossRef]
  43. Krishnamoorthy, G.; Konidena, B.K.; Pakalapati, N. Machine Learning in Edge Computing: Opportunities and Challenges. Int. J. Innov. Sci. Res. Technol. 2024, 9. [Google Scholar] [CrossRef]
  44. Monteiro, M.M. Patterns for Anonymization and Pseudonymization of Datasets 2024. Available online: https://repositorio-aberto.up.pt/bitstream/10216/161014/2/682127.pdf (accessed on 16 April 2025).
  45. Li, N. Data Encryption. In Encyclopedia of Database Systems; Liu, L., Özsu, M.T., Eds.; Springer: Boston, MA, USA, 2009; p. 574. [Google Scholar] [CrossRef]
  46. Yousefpoor, M.S.; Yousefpoor, E.; Barati, H.; Barati, A.; Movaghar, A.; Hosseinzadeh, M. Secure data aggregation methods and countermeasures against various attacks in wireless sensor networks: A comprehensive review. J. Netw. Comput. Appl. 2021, 190, 103118. [Google Scholar] [CrossRef]
  47. Yao, A.; Li, G.; Li, X.; Jiang, F.; Xu, J.; Liu, X. Differential privacy in edge computing-based smart city Applications: Security issues, solutions and future directions. Array 2023, 19, 100293. [Google Scholar] [CrossRef]
  48. Man, D.; Zeng, F.; Yang, W.; Yu, M.; Lv, J.; Wang, Y. Intelligent Intrusion Detection Based on Federated Learning for Edge-Assisted Internet of Things. Secur. Commun. Netw. 2021, 2021, 9361348. [Google Scholar] [CrossRef]
  49. Kukreti, A. Access control and authentication for secure systems and networks. NeuroQuantology 2022, 20, 5321. [Google Scholar]
  50. Xiaohong, D.; Linru, J.; Yuan, J.; Lin, C.; Taoyong, L.; Bin, L. Intelligent computing scheme of blockchain based on trusted execution environment. In Proceedings of the 2022 IEEE International Conference on Artificial Intelligence and Computer Applications (ICAICA), Dalian, China, 24–26 June 2022; pp. 399–407. [Google Scholar]
  51. Ning, Z.; Liao, J.; Zhang, F.; Shi, W. Preliminary Study of Trusted Execution Environments on Heterogeneous Edge Platforms. In Proceedings of the 2018 IEEE/ACM Symposium on Edge Computing (SEC), Seattle, WA, USA, 25–27 October 2018; pp. 421–426. [Google Scholar] [CrossRef]
  52. Chakrabarti, S.; Knauth, T.; Kuvaiskii, D.; Steiner, M.; Vij, M. Trusted execution environment with intel sgx. In Responsible Genomic Data Sharing; Elsevier: Amsterdam, The Netherlands, 2020; pp. 161–190. [Google Scholar]
  53. Jayasinghe, U.; Lee, G.M.; MacDermott, Á.; Rhee, W.S. TrustChain: A privacy preserving blockchain with edge computing. Wirel. Commun. Mob. Comput. 2019, 2019, 2014697. [Google Scholar] [CrossRef]
  54. Xu, R.; Hang, L.; Jin, W.; Kim, D. Distributed secure edge computing architecture based on blockchain for real-time data integrity in IoT environments. Actuators 2021, 10, 197. [Google Scholar] [CrossRef]
  55. Wu, Y.; Wang, J.; Wang, Y.; Zhai, S.; Li, Z.; He, Y.; Sun, K.; Li, Q.; Zhang, N. Your firmware has arrived: A study of firmware update vulnerabilities. In Proceedings of the 33rd USENIX Security Symposium (USENIX Security 24), Philadelphia, PA, USA, 14–16 August 2024; pp. 5627–5644. [Google Scholar]
  56. Gupta, P. A decentralized approach towards secure firmware updates and testing over commercial IoT Devices. arXiv 2020, arXiv:2011.12052. [Google Scholar]
  57. Said, G.; Ghani, A.; Ullah, A.; Alzahrani, A.; Azeem, M.; Ahmad, R.; Kim, D.H. Fog-assisted de-duplicated data exchange in distributed edge computing networks. Sci. Rep. 2024, 14, 20595. [Google Scholar] [CrossRef]
  58. Ok, E.; Grace, J.; John, M. Security and Privacy Challenges 2023. Available online: https://www.researchgate.net/publication/386251008_Security_and_Privacy_Challenges (accessed on 23 April 2025).
  59. Spadaccino, P.; Cuomo, F. Intrusion Detection Systems for IoT: Opportunities and challenges offered by Edge Computing and Machine Learning. arXiv 2020, arXiv:2012.01174. [Google Scholar]
  60. Zhao, C.; Zhao, S.; Zhao, M.; Chen, Z.; Gao, C.Z.; Li, H.; Tan, Y.A. Secure multi-party computation: Theory, practice and applications. Inf. Sci. 2019, 476, 357–372. [Google Scholar] [CrossRef]
  61. Volgushev, N.; Schwarzkopf, M.; Getchell, B.; Varia, M.; Lapets, A.; Bestavros, A. Conclave: Secure multi-party computation on big data. In Proceedings of the Fourteenth EuroSys Conference 2019, Dresden, Germany, 25–28 March 2019; pp. 1–18. [Google Scholar]
  62. Luo, Y.; Chen, Y.; Li, T.; Wang, Y.; Yang, Y. Using information entropy to analyze secure multi-party computation protocol. In Proceedings of the 2021 IEEE Intl Conf on Dependable, Autonomic and Secure Computing, Intl Conf on Pervasive Intelligence and Computing, Intl Conf on Cloud and Big Data Computing, Intl Conf on Cyber Science and Technology Congress (DASC/PiCom/CBDCom/CyberSciTech), Virtual, 25–28 October 2021; pp. 312–318. [Google Scholar] [CrossRef]
  63. Chirra, D.R. Secure Edge Computing for IoT Systems: AI-Powered Strategies for Data Integrity and Privacy. Rev. Intel. Artif. Med. 2022, 13, 485–507. [Google Scholar]
  64. Rivadeneira, J.E.; Borges, G.A.; Rodrigues, A.; Boavida, F.; Silva, J.S. A unified privacy preserving model with AI at the edge for Human-in-the-Loop Cyber-Physical Systems. Internet Things 2024, 25, 101034. [Google Scholar] [CrossRef]
  65. Le, K.H.; Le-Minh, K.H.; Thai, H.T. Brainyedge: An ai-enabled framework for iot edge computing. ICT Express 2023, 9, 211–221. [Google Scholar] [CrossRef]
  66. Cao, K.; Liu, Y.; Meng, G.; Sun, Q. An Overview on Edge Computing Research. IEEE Access 2020, 8, 85714–85728. [Google Scholar] [CrossRef]
  67. Amodu, O. Security Auditors’ Perspective in Tackling Cyber-Threats. Computer 2024, 11, 2458–9403. Available online: https://www.researchgate.net/publication/381280763 (accessed on 1 May 2025).
  68. Hossain, M.I.; Sumon, S.A.; Hasan, H.M.; Akter, F.; Badhon, M.B.; Islam, M.N.U. Quantum-Edge Cloud Computing: A Future Paradigm for IoT Applications. arXiv 2024, arXiv:2405.04824. [Google Scholar]
  69. Nacheva, R.; Azeroual, O. Security of AI-Powered Systems: Threat Intelligence on the Edge. In Proceedings of the 2024 8th International Symposium on Multidisciplinary Studies and Innovative Technologies (ISMSIT), Ankara, Turkey, 7–9 November 2024; pp. 1–6. [Google Scholar] [CrossRef]
  70. Li, Q.; Chen, F.; Kang, J.; Wang, P.; Su, J.; Huang, F.; Li, M.; Zhang, J. Intrinsic random optical features of the electronic packages as physical unclonable functions for internet of things security. Adv. Photonics Res. 2022, 3, 2100207. [Google Scholar] [CrossRef]
  71. Guan, Z.; Liu, H.; Qin, Y. Physical unclonable functions for IoT device authentication. J. Commun. Inf. Netw. 2019, 4, 44–54. [Google Scholar] [CrossRef]
  72. Liu, W.; Zhang, L.; Zhang, Z.; Gu, C.; Wang, C.; O’neill, M.; Lombardi, F. XOR-based low-cost reconfigurable PUFs for IoT security. ACM Trans. Embed. Comput. Syst. TECS 2019, 18, 1–21. [Google Scholar] [CrossRef]
  73. Aparicio-Téllez, R.; Garcia-Bosque, M.; Díez-Señorans, G.; Celma, S. Oscillator Selection Strategies to Optimize a Physically Unclonable Function for IoT Systems Security. Sensors 2023, 23, 4410. [Google Scholar] [CrossRef]
  74. Zerrouki, F.; Ouchani, S.; Bouarfa, H. A survey on silicon PUFs. J. Syst. Archit. 2022, 127, 102514. [Google Scholar] [CrossRef]
  75. Barbareschi, M.; Casola, V.; Emmanuele, A.; Lombardi, D. On the adoption of PUF for key agreement scheme in Internet of Things. In Proceedings of the 21st ACM International Conference on Computing Frontiers: Workshops and Special Sessions, Naples, Italy, 7–9 May 2024; pp. 17–24. [Google Scholar]
  76. Hoffman, C.; Gebotys, C.; Aranha, D.F.; Cortes, M.; Araujo, G. Circumventing uniqueness of XOR arbiter PUFs. In Proceedings of the 2019 22nd Euromicro Conference on Digital System Design (DSD), Kallithea, Greece, 28–30 August 2019; pp. 222–229. [Google Scholar]
  77. Ali, R.; Ma, H.; Hou, Z.; Zhang, D.; Deng, E.; Wang, Y. A Reconfigurable Arbiter MPUF with High Resistance Against Machine Learning Attack. IEEE Trans. Magn. 2021, 57, 1–7. [Google Scholar] [CrossRef]
  78. Alahmadi, S.; Idriss, H.; Rojas, P.; Bayoumi, M. Security Scalability of Arbiter PUF Designs. In Proceedings of the 2023 IEEE International Symposium on Circuits and Systems (ISCAS), Monterey, CA, USA, 21–25 May 2023; pp. 1–5. [Google Scholar] [CrossRef]
  79. Wang, H.; Hao, W.; Tang, Y.; Zhu, B.; Dong, W.; Liu, W. Deep neural network modeling attacks on arbiter-PUF-based designs. Cybersecurity 2025, 8, 1–16. [Google Scholar] [CrossRef]
  80. ISO/IEC 20897-1:2020, Germany. Information Security, Cybersecurity and Privacy Protection—Physically Unclonable Functions Part 1: Security Requirement. Available online: https://www.iso.org/standard/76353.html (accessed on 30 April 2025).
  81. ISO/IEC 20897-2:2022, Germany. Information Security, Cybersecurity and Privacy Protection—Physically Unclonable Functions Part 2: Test and Evaluation Methods. Available online: https://www.iso.org/standard/76354.html (accessed on 30 April 2025).
  82. Sembiring, R.L.; Pahlevi, R.R.; Sukarno, P. Randomness, Uniqueness, and Steadiness Evaluation of Physical Unclonable Functions. In Proceedings of the 2021 9th International Conference on Information and Communication Technology (ICoICT), Yogyakarta, Indonesia, 3–5 August 2021; pp. 429–433. [Google Scholar] [CrossRef]
  83. Al-Meer, A.; Al-Kuwari, S. Physical unclonable functions (PUF) for IoT devices. ACM Comput. Surv. 2023, 55, 1–31. [Google Scholar] [CrossRef]
  84. Asif, R.; Ghanem, K.; Irvine, J. Proof-of-puf enabled blockchain: Concurrent data and device security for internet-of-energy. Sensors 2020, 21, 28. [Google Scholar] [CrossRef]
  85. Najafi, F.; Kaveh, M.; Martín, D.; Reza Mosavi, M. Deep PUF: A highly reliable DRAM PUF-based authentication for IoT networks using deep convolutional neural networks. Sensors 2021, 21, 2009. [Google Scholar] [CrossRef] [PubMed]
  86. Idriss, H.; Idriss, T.; Bayoumi, M. A Highly Reliable Delay-Based Arbiter PUF Architecture. 2021. Available online: https://www.researchgate.net/publication/355982050_A_Highly_Reliable_Delay-Based_Arbiter_PUF_Architecture (accessed on 10 June 2025).
  87. Streit, F.J.; Krüger, P.; Becher, A.; Wildermann, S.; Teich, J. Design and Evaluation of a Tunable PUF Architecture for FPGAs. ACM Trans. Reconfigurable Technol. Syst. 2021, 15, 1–27. [Google Scholar] [CrossRef]
  88. Ma, C.; Mu, J.; Ye, J.; Chen, S.; Cao, Y.; Li, H.; Li, X. Online Reliability Evaluation Design: Select Reliable CRPs for Arbiter PUF and Its Variants. In Proceedings of the 2023 IEEE European Test Symposium (ETS), Venezia, Italy, 22–26 May 2023; pp. 1–6. [Google Scholar] [CrossRef]
  89. Gisha, C.G.; Jose, B.A.; Mathew, J. A novel mixed-signal PUF based on Current Mirror Inverter. In Proceedings of the 2022 IEEE International Symposium on Smart Electronic Systems (iSES), Warangal, India, 18–22 December 2022; pp. 89–94. [Google Scholar] [CrossRef]
  90. Valkenborg, D.; Rousseau, A.J.; Geubbelmans, M.; Burzykowski, T. Support vector machines. Am. J. Orthod. Dentofac. Orthop. 2023, 164, 754–757. [Google Scholar] [CrossRef]
  91. Sweilam, N.H.; Tharwat, A.; Moniem, N.A. Support vector machine for diagnosis cancer disease: A comparative study. Egypt. Inform. J. 2010, 11, 81–92. [Google Scholar] [CrossRef]
  92. Jha, J.; Ragha, L. Intrusion detection system using support vector machine. Int. J. Appl. Inf. Syst. IJAIS 2013, 3, 25–30. [Google Scholar]
  93. Khan, M.M.; Alkhathami, M. Anomaly detection in IoT-based healthcare: Machine learning for enhanced security. Sci. Rep. 2024, 14, 5872. [Google Scholar] [CrossRef]
  94. Al-Rbabah, L.; Al-Qerem, A.; Al Khaldy, M.A.; Turki, H.M. Enhancing IoT Security and Efficiency Using Machine Learning. In Proceedings of the 2025 1st International Conference on Computational Intelligence Approaches and Applications (ICCIAA), Petra, Jordan, 28–30 April 2025; pp. 1–7. [Google Scholar]
  95. Chalichalamala, S.; Govindan, N.; Kasarapu, R. Logistic regression ensemble classifier for intrusion detection system in internet of things. Sensors 2023, 23, 9583. [Google Scholar] [CrossRef] [PubMed]
  96. Rührmair, U.; Sehnke, F.; Sölter, J.; Dror, G.; Devadas, S.; Schmidhuber, J. Modeling attacks on physical unclonable functions. In Proceedings of the 17th ACM Conference on Computer and Communications Security, Chicago, IL, USA, 4–8 October 2010; pp. 237–249. [Google Scholar]
  97. Zhang, J.; Shen, C.; Su, H.; Arafin, M.T.; Qu, G. Voltage Over-Scaling-Based Lightweight Authentication for IoT Security. IEEE Trans. Comput. 2022, 71, 323–336. [Google Scholar] [CrossRef]
  98. Hasan, M.; Islam, M.M.; Zarif, M.I.I.; Hashem, M. Attack and anomaly detection in IoT sensors in IoT sites using machine learning approaches. Internet Things 2019, 7, 100059. [Google Scholar] [CrossRef]
  99. Gugueoth, V.; Safavat, S.; Shetty, S. Security of Internet of Things (IoT) using federated learning and deep learning—Recent advancements, issues and prospects. ICT Express 2023, 9, 941–960. [Google Scholar] [CrossRef]
  100. Christo, M.S.; Menandas, J.J.; George, M.; Nuna, S.V. DDoS Detection using Multilayer Perceptron. In Proceedings of the 2023 4th International Conference on Electronics and Sustainable Communication Systems (ICESC), Coimbatore, India, 6–8 July 2023; pp. 688–693. [Google Scholar] [CrossRef]
  101. Ali, A.; Assam, M.; Khan, F.U.; Ghadi, Y.Y.; Nurdaulet, Z.; Zhibek, A.; Shah, S.Y.; Alahmadi, T.J. An optimized multilayer perceptron-based network intrusion detection using Gray Wolf Optimization. Comput. Electr. Eng. 2024, 120, 109838. [Google Scholar] [CrossRef]
  102. Upadhyay, D.; Manero, J.; Zaman, M.; Sampalli, S. Gradient boosting feature selection with machine learning classifiers for intrusion detection on power grids. IEEE Trans. Netw. Serv. Manag. 2020, 18, 1104–1116. [Google Scholar] [CrossRef]
  103. Saied, M.; Guirguis, S.; Madbouly, M. A comparative study of using boosting-based machine learning algorithms for IoT network intrusion detection. Int. J. Comput. Intell. Syst. 2023, 16, 177. [Google Scholar] [CrossRef]
  104. Bourechak, A.; Zedadra, O.; Kouahla, M.N.; Guerrieri, A.; Seridi, H.; Fortino, G. At the confluence of artificial intelligence and edge computing in iot-based applications: A review and new perspectives. Sensors 2023, 23, 1639. [Google Scholar] [CrossRef]
  105. Hua, H.; Li, Y.; Wang, T.; Dong, N.; Li, W.; Cao, J. Edge computing with artificial intelligence: A machine learning perspective. ACM Comput. Surv. 2023, 55, 1–35. [Google Scholar] [CrossRef]
  106. Sheikh, A.M.; Islam, M.R.; Habaebi, M.H.; Zabidi, S.A.; Najeeb, A.R.B.; Basahel, A. Machine Learning (ML) assisted Edge security framework on FPGAs. In Proceedings of the 2023 9th International Conference on Computer and Communication Engineering (ICCCE), Kuala Lumpur, Malaysia, 15–16 August 2023; pp. 155–160. [Google Scholar]
  107. Bhattacharya, T.; Peddi, A.V.; Ponaganti, S.; Veeramalla, S.T. A survey on various security protocols of edge computing. J. Supercomput. 2025, 81, 310. [Google Scholar] [CrossRef]
  108. Hao, C.; Dotzel, J.; Xiong, J.; Benini, L.; Zhang, Z.; Chen, D. Enabling Design Methodologies and Future Trends for Edge AI: Specialization and Codesign. IEEE Des. Test 2021, 38, 7–26. [Google Scholar] [CrossRef]
  109. Gohil, H. Enhancing IoT Security with Artificial Intelligence. 2024. Available online: https://www.einfochips.com/blog/enhancing-iot-security-with-artificial-intelligence/ (accessed on 8 February 2025).
  110. Firouzi, F.; Jiang, S.; Chakrabarty, K.; Farahani, B.; Daneshmand, M.; Song, J.; Mankodiya, K. Fusion of IoT, AI, edge–fog–cloud, and blockchain: Challenges, solutions, and a case study in healthcare and medicine. IEEE Internet Things J. 2022, 10, 3686–3705. [Google Scholar] [CrossRef]
  111. Khoramnejad, F.; Hossain, E. Generative AI for the optimization of next-generation wireless networks: Basics, state-of-the-art, and open challenges. IEEE Commun. Surv. Tutor. 2025. early access. [Google Scholar] [CrossRef]
  112. Aouedi, O.; Vu, T.H.; Sacco, A.; Nguyen, D.C.; Piamrat, K.; Marchetto, G.; Pham, Q.V. A survey on intelligent Internet of Things: Applications, security, privacy, and future directions. IEEE Commun. Surv. Tutor. 2024, 27, 1238–1292. [Google Scholar] [CrossRef]
  113. Abbas, G.; Mehmood, A.; Carsten, M.; Epiphaniou, G.; Lloret, J. Safety, security and privacy in machine learning based internet of things. J. Sens. Actuator Netw. 2022, 11, 38. [Google Scholar] [CrossRef]
  114. Alwahedi, F.; Aldhaheri, A.; Ferrag, M.A.; Battah, A.; Tihanyi, N. Machine learning techniques for IoT security: Current research and future vision with generative AI and large language models. Internet Things -Cyber-Phys. Syst. 2024, 4, 167–185. [Google Scholar] [CrossRef]
  115. Frikha, M.S.; Gammar, S.M.; Lahmadi, A.; Andrey, L. Reinforcement and deep reinforcement learning for wireless Internet of Things: A survey. Comput. Commun. 2021, 178, 98–113. [Google Scholar] [CrossRef]
  116. Hussain, B.; Du, Q.; Imran, A.; Imran, M.A. Artificial Intelligence-Powered Mobile Edge Computing-Based Anomaly Detection in Cellular Networks. IEEE Trans. Ind. Inform. 2020, 16, 4986–4996. [Google Scholar] [CrossRef]
  117. Jedidi, A. Dynamic trust security approach for edge computing-based mobile IoT devices using artificial intelligence. Eng. Res. Express 2024, 6, 025211. [Google Scholar] [CrossRef]
  118. Kohli, V.; Chougule, A.; Chamola, V.; Yu, F.R. MbRE IDS: An AI and edge computing empowered framework for securing intelligent transportation systems. In Proceedings of the IEEE INFOCOM 2022-IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS), Virtual, 2–5 May 2022; pp. 1–6. [Google Scholar]
  119. Yao, A.; Jiang, F.; Li, X.; Dong, C.; Xu, J.; Xu, Y.; Li, G.; Liu, X. A Novel Security Framework for Edge Computing based UAV Delivery System. In Proceedings of the 2021 IEEE 20th International Conference on Trust, Security and Privacy in Computing and Communications (TrustCom), Shenyang, China, 20–22 October 2021; pp. 1031–1038. [Google Scholar] [CrossRef]
  120. Xue, H.; Chen, D.; Zhang, N.; Dai, H.N.; Yu, K. Integration of blockchain and edge computing in internet of things: A survey. Future Gener. Comput. Syst. 2023, 144, 307–326. [Google Scholar] [CrossRef]
  121. Abreha, H.G.; Hayajneh, M.; Serhani, M.A. Federated learning in edge computing: A systematic survey. Sensors 2022, 22, 450. [Google Scholar] [CrossRef]
  122. Wen, J.; Zhang, Z.; Lan, Y.; Cui, Z.; Cai, J.; Zhang, W. A survey on federated learning: Challenges and applications. Int. J. Mach. Learn. Cybern. 2023, 14, 513–535. [Google Scholar] [CrossRef]
  123. Duan, Q.; Huang, J.; Hu, S.; Deng, R.; Lu, Z.; Yu, S. Combining federated learning and edge computing toward ubiquitous intelligence in 6G network: Challenges, recent advances, and future directions. IEEE Commun. Surv. Tutor. 2023, 25, 2892–2950. [Google Scholar] [CrossRef]
  124. Li, H.; Ge, L.; Tian, L. Survey: Federated learning data security and privacy-preserving in edge-Internet of Things. Artif. Intell. Rev. 2024, 57, 130. [Google Scholar] [CrossRef]
  125. Paripati, L.K.; Hajari, V.R. Edge Computing and its Role in Enhancing Artificial Intelligence and Machine Learning Applications in the Cloud. J. Electr. Syst. 2024, 2958–2969. [Google Scholar] [CrossRef]
  126. Li, D.; Liu, D.; Qi, Y.; Liu, F.; Guan, Z.; Liu, J. PUF-Based Lightweight Authentication Framework for Large-Scale IoT Devices in Distributed Cloud. IEEE Netw. 2023, 37, 56–62. [Google Scholar] [CrossRef]
  127. Iyer, M.A.; Junaid Khan, S.Q.; Zhuang, N. Advanced Physical Synthesis in the Quartus® Prime Pro Edition Software WP-01327-1.0; Technical Report; Altera, Intel: San Jose, CA, USA, 2025; Available online: https://cdrdv2-public.intel.com/786711/advanced-physical-synthesis-white-paper.pdf (accessed on 9 June 2025).
  128. Balijabudda, V.S.; Chakrabarti, I.; Chakraborty, R.S. Design, Implementation and Characterization of a Novel Robust-by-Construction Arbiter PUF Circuit on Xilinx FPGAs. In Proceedings of the 2024 IEEE 33rd Asian Test Symposium (ATS), Hiroshima, Japan, 17–20 December 2024; pp. 1–6. [Google Scholar] [CrossRef]
  129. Gassend, B.; Clarke, D.; van Dijk, M.; Devadas, S. Silicon physical random functions. In Proceedings of the 9th ACM Conference on Computer and Communications Security, Washington, DC, USA, 18–22 November 2002; pp. 148–160. [Google Scholar]
  130. Machida, T.; Yamamoto, D.; Iwamoto, M.; Sakiyama, K. A new arbiter PUF for enhancing unpredictability on FPGA. Sci. World J. 2015, 2015, 864812. [Google Scholar] [CrossRef] [PubMed]
  131. Hori, Y.; Yoshida, T.; Katashita, T.; Satoh, A. Quantitative and statistical performance evaluation of arbiter physical unclonable functions on FPGAs. In Proceedings of the 2010 International conference on reconfigurable computing and FPGAs, Cancun, Mexico, 13–15 December 2010; pp. 298–303. [Google Scholar]
  132. Mahalat, M.H.; Mandal, S.; Mondal, A.; Sen, B.; Chakraborty, R.S. Implementation, characterization and application of path changing switch based arbiter PUF on FPGA as a lightweight security primitive for iot. ACM TRansactions Des. Autom. Electron. Syst. TODAES 2021, 27, 1–26. [Google Scholar] [CrossRef]
  133. Anandakumar, N.N.; Hashmi, M.S.; Chaudhary, M.A. Implementation of Efficient XOR Arbiter PUF on FPGA with Enhanced Uniqueness and Security. IEEE Access 2022, 10, 129832–129842. [Google Scholar] [CrossRef]
  134. Lim, D.; Lee, J.W.; Gassend, B.; Suh, G.E.; Van Dijk, M.; Devadas, S. Extracting secret keys from integrated circuits. IEEE Trans. Very Large Scale Integr. VLSI Syst. 2005, 13, 1200–1205. [Google Scholar]
  135. Tran, M.K.; Panchal, S.; Chauhan, V.; Brahmbhatt, N.; Mevawalla, A.; Fraser, R.; Fowler, M. Python-based scikit-learn machine learning models for thermal and electrical performance prediction of high-capacity lithium-ion battery. Int. J. Energy Res. 2022, 46, 786–794. [Google Scholar] [CrossRef]
  136. Arnold, C.; Biedebach, L.; Küpfer, A.; Neunhoeffer, M. The role of hyperparameters in machine learning models and how to tune them. Political Sci. Res. Methods 2024, 12, 841–848. [Google Scholar] [CrossRef]
  137. Gu, C.; Liu, W.; Cui, Y.; Hanley, N.; O’Neill, M.; Lombardi, F. A flip-flop based arbiter physical unclonable function (APUF) design with high entropy and uniqueness for FPGA implementation. IEEE Trans. Emerg. Top. Comput. 2019, 9, 1853–1866. [Google Scholar] [CrossRef]
  138. Rührmair, U.; Sölter, J.; Sehnke, F.; Xu, X.; Mahmoud, A.; Stoyanova, V.; Dror, G.; Schmidhuber, J.; Burleson, W.; Devadas, S. PUF modeling attacks on simulated and silicon data. IEEE Trans. Inf. Forensics Secur. 2013, 8, 1876–1891. [Google Scholar] [CrossRef]
  139. Ma, Q.; Gu, C.; Hanley, N.; Wang, C.; Liu, W.; O’Neill, M. A machine learning attack resistant multi-PUF design on FPGA. In Proceedings of the 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), Jeju, Republic of Korea, 22–25 January 2018; pp. 97–104. [Google Scholar]
  140. Saleh, H.; Abulibdeh, E.; Naser, S.; Mohammad, B.; Alqutayri, M.; Muhaidat, S. Security Analysis of Digital-Based Physically Unclonable Functions: Dataset Generation, Machine Learning Modeling, and Correlation Analysis. Machine Learning Modeling, and Correlation Analysis. Available online: https://ssrn.com/abstract=4855555 (accessed on 9 June 2025).
  141. Ali-Pour, A.; Afghah, F.; Hely, D.; Beroulle, V.; Di Natale, G. Secure puf-based authentication and key exchange protocol using machine learning. In Proceedings of the 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Nicosia, Cyprus, 4–6 July 2022; pp. 386–389. [Google Scholar]
Figure 1. Edge computing architecture.
Figure 1. Edge computing architecture.
Futureinternet 17 00275 g001
Figure 2. Unique CRP of PUFs [32].
Figure 2. Unique CRP of PUFs [32].
Futureinternet 17 00275 g002
Figure 3. Architecture of arbiter PUFs [32].
Figure 3. Architecture of arbiter PUFs [32].
Futureinternet 17 00275 g003
Figure 4. PUF performance metrics.
Figure 4. PUF performance metrics.
Futureinternet 17 00275 g004
Figure 5. Research flow diagram.
Figure 5. Research flow diagram.
Futureinternet 17 00275 g005
Figure 6. The correlation matrix heat map.
Figure 6. The correlation matrix heat map.
Futureinternet 17 00275 g006
Figure 7. ML in IoT security.
Figure 7. ML in IoT security.
Futureinternet 17 00275 g007
Figure 8. AI classification.
Figure 8. AI classification.
Futureinternet 17 00275 g008
Figure 9. OpenVINO workflow for model deployment.
Figure 9. OpenVINO workflow for model deployment.
Futureinternet 17 00275 g009
Figure 10. Proposed framework.
Figure 10. Proposed framework.
Futureinternet 17 00275 g010
Figure 11. Altera Cyclone IV logic elements.
Figure 11. Altera Cyclone IV logic elements.
Futureinternet 17 00275 g011
Figure 12. Intel Quartus Prime Design Suite targeted to a Cyclone IV FPGA development board.
Figure 12. Intel Quartus Prime Design Suite targeted to a Cyclone IV FPGA development board.
Futureinternet 17 00275 g012
Figure 13. Intra-HD: Variation of PUF output to the same challenge across conditions.
Figure 13. Intra-HD: Variation of PUF output to the same challenge across conditions.
Futureinternet 17 00275 g013
Figure 14. Confusion matrix of the proposed models.
Figure 14. Confusion matrix of the proposed models.
Futureinternet 17 00275 g014
Figure 15. ML accuracy against CRP numbers.
Figure 15. ML accuracy against CRP numbers.
Futureinternet 17 00275 g015
Table 1. Classification of edge security threats.
Table 1. Classification of edge security threats.
Type of ThreatDescription
Hardware or software malwareSoftware malware exploits vulnerabilities in the operating systems, applications, or network protocols of EC systems. In contrast, hardware malware intrudes at the physical or firmware level, leveraging vulnerabilities in the hardware or its microcode. Malware such as Trojans, worms, and viruses can cause privacy leakage, power depletion, and degraded system performance [38].
Physical tampering and attacksPhysical attacks attempt to gain unauthorized access to and manipulate IoT devices or EC infrastructure. Adversaries might alter hardware characteristics, extract sensitive information, or insert malicious components [39].
Routing information attacksThe routing of data packets in a network can be maliciously manipulated by redirecting or dropping packets [31]. Such attacks include routing loops, false error messages, blackhole attacks (diverting data to incorrect destinations), and grayhole attacks (selectively dropping packets), as well as wormhole attacks, hello flood attacks, and Sybil attacks, which introduce nodes with fake identities [40].
DDoS attacksEdge servers can be overwhelmed by massive data volumes that exceed network capacity during DDoS attacks, thereby disrupting their responses to legitimate users and posing ransom threats to service providers [41].
Privacy leakagePrivacy threats are amplified when legitimate entities, including edge data centers, infrastructure providers, service providers, and end nodes, have access to user data. In an open IoT ecosystem operating across various trust domains, it becomes difficult to authenticate the trustworthiness of service providers [10].
Eavesdropping or sniffingAdversaries covertly listen to users’ conversations and data transactions, gaining access to user data, passwords, and communication networks [31]. By eavesdropping, they effectively mask themselves within the system environment, making detection difficult [13].
Jamming attacksAttackers deliberately flood the communication network with falsified data to deplete its communication, computing, and storage resources [31]. In a jamming attack, the network is disrupted and rendered inoperable by the continuous transmission of random data bits or the emission of radio frequency (RF) signals [42].
Integrity attacks against machine learningAttackers can compromise the training of machine learning models by manipulating or injecting deceptive data into the training datasets. They may also exploit existing vulnerabilities without directly interfering with the training process [31].
Table 2. Cyclone IV E (EP4CE10) device resources.
Table 2. Cyclone IV E (EP4CE10) device resources.
Resources#
Logic elements (LEs)10,320
Embedded memory (Kbits)414
Embedded 18 × 18 multipliers23
General-purpose PLLs2
Global clock networks10
User I/O banks8
Maximum user I/O179
Table 3. CRPs performance metrics of APUF-Variants.
Table 3. CRPs performance metrics of APUF-Variants.
Hardware PlatformsUniformityIntra-HDUniquenessRef.
Artix7 FPGA51.22%-50.81% [128]
Xilinx XC2S200 FPGA49% 5%45–50% [129]
Virtex-5 FPGA54.78%-4.7% [130]
Virtex-5 FPGA42.34%-36.75% [131]
Artix-7 FPGA57.64%-51.34% [132]
Artix-7 FPGA51.84%-46.21% [133]
HSPICE 65 nm ptm55.69%11.36%42.12% [134]
Cyclone IV49.28%10.81%38.6%Proposed APUF
Table 4. Estimation of PUF confusion matrix.
Table 4. Estimation of PUF confusion matrix.
RegressionTPTNFPFNAccuracyPrecisionRecallFDRF1-Score
LR94,02189,47058,17058,1610.6120.6177830.61781950.3939990.617801
RF148,545143,658398236370.9745880.9738930.9761010.0269710.974996
MLP146,580142,454518656020.9640190.9658290.96318880.0351260.964507
GB94,02189,47058,17058,1610.6120.6177830.61781950.3939990.617801
Table 5. ML model parameters.
Table 5. ML model parameters.
ML ModelHyperparametersRangeSelected Value
SVMC, γ , Kernel[0.1, 0.2, 0.3, 0.4], [0.001, 0.01, 0.1, 1], RBF10, 0.1, RBF
LRRegularization C, Max Iterations[0.001, 0.01, 0.1, 1], [50, 100, 200, 1000]0.1, 100
MLPHidden Layers, Learning Rate, Epoch[[64, 32], [128, 64], [100]], [0.001, 0.01, 0.1], 100 (Early Stopping)[100], 0.01, Early Stopping
RFn_estimators, max_depth, criterion[50, 100, 200], [5, 15, 25], entropy100, 15, entropy
GBn_estimators, learning_rate, max_depth, loss[50, 100, 200], [0.01, 0.05, 0.1], [3, 5, 7], log_loss100, 0.1, 3, log_loss
Table 6. Comparing ML accuracy of APUF models in the literature.
Table 6. Comparing ML accuracy of APUF models in the literature.
Learning ModelChallenge BitsPrediction RateTraining CRPRef.
LR6466.5%40,000 [133]
SVM6474.7%40,000 [133]
LR6497%40,000 [137]
LR6499%6500 [138]
SVM6486.31%1000 [130]
LR6480%40,000 [139]
LR/SVM6451.22%/52.61%2,097,152 [128]
Table 7. ML prediction accuracy versus the CRPs of proposed APUF.
Table 7. ML prediction accuracy versus the CRPs of proposed APUF.
Learning ModelNumber of CRP’s
502155426163668472105,7712,68314,78816,89419,000
SVM54.6%60.7%61.6%61.2%61.3%61.2%61.1%61.1%61.1%61.1%
LR55.8%63.5%63.5%63.5%63.5%63.5%63.5%63.5%63.5%63.5%
MLP57%93.7%94.3%94.9%94.9%95.3%95.4%95.2%96.3%95.4%
Random forest49.7%85%91.6%94%93.9%94.8%95.9%95.2%95.5%95.9%
Gradient Boosting56.3%63%63.4%63.8%63.1%63.2%63.3%62.5%62.7%63%
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Sheikh, A.M.; Islam, M.R.; Habaebi, M.H.; Zabidi, S.A.; Bin Najeeb, A.R.; Kabbani, A. Integrating Physical Unclonable Functions with Machine Learning for the Authentication of Edge Devices in IoT Networks. Future Internet 2025, 17, 275. https://doi.org/10.3390/fi17070275

AMA Style

Sheikh AM, Islam MR, Habaebi MH, Zabidi SA, Bin Najeeb AR, Kabbani A. Integrating Physical Unclonable Functions with Machine Learning for the Authentication of Edge Devices in IoT Networks. Future Internet. 2025; 17(7):275. https://doi.org/10.3390/fi17070275

Chicago/Turabian Style

Sheikh, Abdul Manan, Md. Rafiqul Islam, Mohamed Hadi Habaebi, Suriza Ahmad Zabidi, Athaur Rahman Bin Najeeb, and Adnan Kabbani. 2025. "Integrating Physical Unclonable Functions with Machine Learning for the Authentication of Edge Devices in IoT Networks" Future Internet 17, no. 7: 275. https://doi.org/10.3390/fi17070275

APA Style

Sheikh, A. M., Islam, M. R., Habaebi, M. H., Zabidi, S. A., Bin Najeeb, A. R., & Kabbani, A. (2025). Integrating Physical Unclonable Functions with Machine Learning for the Authentication of Edge Devices in IoT Networks. Future Internet, 17(7), 275. https://doi.org/10.3390/fi17070275

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop