Next Article in Journal
The Behavior of Supersonic Jets Generated by Combination Gas in the Steelmaking Process
Previous Article in Journal
Parameter Interval Uncertainty Analysis of Internal Resonance of Rotating Porous Shaft–Disk–Blade Assemblies Reinforced by Graphene Nanoplatelets
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Characterization of SiO2 Etching Profiles in Pulse-Modulated Capacitively Coupled Plasmas

1
Department of Physics, Chungnam National University, 99 Daehak-ro, Daejeon 34134, Korea
2
Nanotech, Yongin 28431, Korea
3
Institute of Quantum System (IQS), Chungnam National University, Daejeon 34134, Korea
*
Author to whom correspondence should be addressed.
Materials 2021, 14(17), 5036; https://doi.org/10.3390/ma14175036
Submission received: 8 June 2021 / Revised: 31 August 2021 / Accepted: 31 August 2021 / Published: 3 September 2021
(This article belongs to the Section Manufacturing Processes and Systems)

Abstract

:
Although pulse-modulated plasma has overcome various problems encountered during the development of the high aspect ratio contact hole etching process, there is still a lack of understanding in terms of precisely how the pulse-modulated plasma solves the issues. In this research, to gain insight into previously observed phenomena, SiO2 etching characteristics were investigated under various pulsed plasma conditions and analyzed through plasma diagnostics. Specifically, the disappearance of micro-trenching from the use of pulse-modulated plasma is analyzed via self-bias, and the phenomenon that as power off-time increases, the sidewall angle increases is interpreted via radical species density and self-bias. Further, the change from etching to deposition with decreased peak power during processing is understood via self-bias and electron density. It is expected that this research will provide an informative window for the optimization of SiO2 etching and for basic processing databases including plasma diagnosis for advanced plasma processing simulators.

1. Introduction

As the feature size of semiconductors continues to decrease, and the structure of semiconductors is altered from two to three dimensions, high-aspect-ratio contact (HARC) hole etching has emerged as one of the most important goals in the semiconductor manufacturing process. Achieving high-performance HARC hole etching requires high selectivity [1,2,3,4,5,6,7], anisotropic etching [8,9,10,11,12], and reduced charge damage [13,14,15,16]. As continuous wave (CW) plasma reacts with a consistent ion flux on a wafer surface, it is difficult to attain these aspects with CW plasma. Many researchers subsequently found that pulse-modulated plasma can meet the above requirements [17,18,19,20,21,22]; despite such findings though, an understanding of the effects of pulse-modulated plasma, namely the detailed plasma parameters and how they influence surface reactions on the wafer, has remained unclear.
To clarify the pulse-modulated plasma effects, it is necessary to diagnose the plasma and analyze the key plasma parameters. Etching is dominantly determined by certain key parameters, i.e., radical species density, ion energy, and electron density [23]. Thus, many studies have been conducted to understand the relationship between pulse-modulated plasma (hereafter, pulse plasma) parameters and the SiO2 etching characteristics. Samukawa et al. investigated SiO2 etching characteristics through electron density and self-bias in pulse-time modulated electron cyclotron resonance plasma [24]. Jeon et al. researched SiO2 HARC profiles in dual-frequency-pulsed capacitively coupled plasma (CCP) via XPS surface analysis and electron temperature [25]. Song and Kushner studied SiO2 etch rates and profiles through average radical fluxes and the electron energy distribution function (EEDF) in pulse-modulated dual frequency CCP simulation [26]. Tokashiki et al. studied SiO2 and poly-Si etch rates and profiles in pulse-modulated inductively coupled plasma through electron temperature, electron density, plasma potential, and ion density [27]. However, as Samukawa et al. and Tokashiki et al. did not consider radical density, the chemical etching characteristics could not be fully explained, and otherwise, plasma simulation research should be matched with experimental data. It is important to note that plasma etching is not simply determined by one or two plasma variables, but rather occurs as a complex interaction between numerous variables at different levels of influence. Therefore, the combination of the key plasma parameters should be considered to deepen the understanding of the relationship between plasma and etch profiles.
In this paper, SiO2 wafers were etched by pulse plasma while changing various external parameters to know the complex interactions between the plasma parameters, namely electron density, radical density, and self-bias. Using these plasma parameters, etch rates and selectivity were analyzed. Moreover, undesired etch profiles such as tapered etching and micro-trenching were analyzed through these variables.

2. Experimental Details

The experiments were performed using a radio frequency (RF) CCP source. A schematic outline of the CCP chamber is shown in Figure 1a. Discharge was maintained between 300-mm-diameter parallel-plate electrodes separated by 50 mm. The system was equipped with a 13.56 MHz RF frequency power generator connected to the bottom electrode via coaxial cable. The RF power generator RF5S (Advanced Energy, Denver, CO, USA) controlled the frequency and duty cycle of the pulse modulation power by independently varying power on/off time. The 1000 nm thick SiO2 was deposited on the silicon wafers by high-density plasma chemical vaporized deposition, and it was masked with a 200 nm poly-silicon hole patterned layer, with 350 nm diameter holes used. The ratios of the gap between the holes and the hole size were 1:1, 1.2:1, 2:1 and 5:1. This wafer was cut into coupon sizes and processed while placed in the center of the bottom electrode.
The diagnostics equipment set-up is shown in Figure 1b. The partial pressure of the radicals in the plasma was measured with an RGA-200 quadrupole mass spectrometer (QMS) (Stanford Research Systems, Sunnyvale, CA, USA). It was separated from the vacuum chamber by an orifice with a differential pumping unit system to create a vacuum in the QMS. The base pressure of the QMS chamber was under 10−8 Torr. To obtain radical density in plasma, the QMS signal should be converted. Singh et al. suggested the radical density converted method using appearance potential mass spectrometry (APMS) as follows [28]:
n X o n n A r o f f = ( A X X + A A r A r + ) ( λ A r A r + λ X X + ) [ t ( m A r + ) θ ( m A r + ) t ( m X + ) θ ( m X + ) ]
In this equation, n X o n is X radical density, n A r o f f is Ar density in the chamber without plasma, A and λ are the slopes of the linear fits of the QMS signal and the cross-section to eliminate electron energy dependence, t ( m ) is the transmission efficiency of the quadrupole mass filter, and θ ( m ) is the detection coefficient of the detector. n A r o f f is obtained using the ideal gas law,
p = n A r o f f k T
where p is chamber pressure, k is the Boltzmann constant, and T is gas temperature. While this method is typically used to obtain absolute radical density, the APMS method requires a high-performance QMS in order to precisely control electron energy. In the current paper, to measure the absolute radical densities in the plasma, the APMS equation was changed [28] based on the quality of the QMS. A variation of this equation was used as follows:
n X o n n A r o f f = ( S X X + S A r A r + ) ( σ A r A r + σ X X + ) [ t ( m A r + ) θ ( m A r + ) t ( m X + ) θ ( m X + ) ]
Here, S is the QMS signal, and σ is the ionization cross-section. As the QMS signals were obtained at 70 eV electron energy, 70 eV ionization cross-sections were used. The Ar reference data for this method was measured at a 20 mTorr chamber pressure.
Table 1 shows the parameters and symbols in Equations (1)–(3). The radical density was determined by averaging the measurement five times.
Here, Radical species C, CF, CF2, …, etc., were measured, and they were substituted as in Table 2. When the electron energy is set at 70 eV, the dissociative ionization of C4F8 in the QMS generate the gross error of radical density due to the high electron energy. To investigate the dissociative ionization effect on the gross error, we measured electron energy distribution in the QMS ionizer via an electric probe [29,30]. By sweeping the probe bias voltage from −12 to +80 V, the electron current was measured and the second derivative of the current–voltage curve, which is proportional to the electron energy distribution, was evaluated. As a result, it is found that the electrons mostly existed in the energy range of 0~15 eV, or 30~50 eV and there are two depletion regions between the two groups and over the electron energy of 50 eV when with 75 eV setting. The first depletion region in 15~30 eV energy is believed to be made by the ionization process of molecular gases in ionizer, more ionization creates a higher depletion of electrons. The second depletion region over 50 eV is believed to be made by the escape of electrons which can overcome the potential barrier of the ionizer. Therefore, ionization mostly occurs in the first depletion region. Moreover, in the cross-section of dissociative ionization, and direct ionization above the first depletion electron energy region, the direct ionization is higher than dissociative ionization [31]. Therefore, the estimated radical density is highly affected by direct ionization.
The electron density of the plasma was measured via a cutoff probe, which measures electron density with a simple method using microwaves [32]. The electron density was measured by averaging 50 times to get accuracy. Self-bias was measured with a high-voltage probe connected to an oscilloscope (Tektronix, Beaverton, OR, USA). The 100:1 high-voltage probe was connected to the bottom electrode. The voltage waveform is obtained using the high-voltage probe. Self-bias is the DC offset of this waveform. Etch profiles were obtained with a scanning electron microscope (SEM) (Topcon, Tokyo, Japan). The coupon wafer was cut into cross-sections and images were obtained through SEM. Since the depth of the etched hole is visible in the cross-sectional images, the etch rate can be obtained by dividing the depth of the hole by the processing time. The poly-Si mask etch depth is obtained by subtracting poly-Si height after the etching from 200 nm, which is the thickness height of the mask before the etching. As the SiO2 etch rate, mask etch rate is obtained by dividing mask etch depth to process time. The SiO2–mask selectivity was calculated by dividing the SiO2 etch rate by the mask etch rate.
In this paper, etch profiles were obtained by varying three external parameters, namely power, pulse on-time, and pulse off-time, where the pulse change is explained through pulse on/off time, not frequency and duty cycle. To analyze the effect on pulsed plasma by directly controlling the discharge on time and discharge off time of the plasma, rather than the frequency and duty cycle of the plasma, the experiment was conducted by controlling the RF power on/off time. The on/off control scheme is shown in Figure 2.
In the first experiment, the pulse-modulated RF power applied to discharge the plasma was varied from 100 to 400 W, while the other parameters were kept constant. In the second experiment, the plasma pulse on- and off-time were varied together while the power was kept constant. In the third experiment, only the pulse off-time was changed while the other parameters remained constant. In all experiments, the benchmarked conditions, which have high SiO2 etch rate and selectivity, were as follows: 400 W power, 2 ms on-time, 2 ms off-time, 1:1 C4F8/Ar ratio, and 20 mTorr pressure. The total on-time in all experiments was set to 10 min, and accordingly, the processing time was changed for each experimental condition.

3. Results

Figure 3 shows the etch profiles, SiO2 etch rates, and SiO2 to Si selectivity with increasing pulsed RF power. Deposition occurs in the 100 W condition. Etch rate cannot be expressed here, so * is used. In order to equalize the sum of the total power in the etching process, experiments were performed by increasing the processing time as the power decreased.
At high power, the etch rate and selectivity appeared to be similar to other research [1,24], and anisotropic etching occurred. However, as the power decreased, the etch rate decreased, the selectivity increased, and the etch profile became isotropic. At low RF power, deposition occurred, despite the etching process.
These etching characteristics were analyzed by plasma diagnostic data. Figure 4 shows the plasma diagnostic data for radical densities, the absolute value of self-bias, and the electron density as functions of RF power. As the RF power increased, the electron density and absolute self-bias, which can represent the ion bombardment energy, also increased. In addition, it was confirmed that chemical reactions in the plasma were increased by the increase in electron density, so that the density of the high mass molecules decreased while that of the low mass molecules increased. As most of the low mass molecules have a higher fluorine/carbon ratio (F/C ratio) than the high mass molecules, the low mass molecules are expected to deposit fewer polymer layers than the high mass molecules. With these results, the etch characteristics shown in Figure 3 can be analyzed. The ion bombardment energy is high at high power, so that the polymer layers deposited by CxFy radicals can be sufficiently removed, in addition to the high density of the low mass molecules decreasing the formation of the polymer layers. On the other hand, at low RF power, the ion bombardment energy becomes insufficient to remove CxFy polymers. Additionally, the increase in polymers with low F/C ratios such as C3F4 and C3F5 can contribute to the formation of the polymer layers. Therefore, the process changes from deposition to etching with increasing RF power.
In Figure 5, the etch profiles, SiO2 etch rates, and SiO2 to Si selectivity are shown as functions of pulse on/off times, which were set to CW, 2 ms, and 4 ms. For an equal total power in all experiments, the etch time increased from 10 to 20 min when the power changed from CW to pulsed. In the CW mode, the micro-trench phenomenon occurred, but it was absent in the pulse plasma mode. When the power changed from CW to pulsed, the etch rate decreased from 90.2 to 39.85 nm/min, and the selectivity increased from 8.05 to 9.8.
Figure 6 shows the radical densities, self-bias, and electron density as functions of the pulse on/off time, which ranged from 2 to 16 ms. The radical densities, self-bias, and electron density did not obviously change when the pulse on-time increased. Referring to Figure 5, the etch rate of the pulse plasma was half that of the CW plasma. The cause of the different etch characteristics between CW plasma and pulse plasma was that the pulse plasma had half the pulse on-time within the same period, meaning that the ion bombardment time of the pulse plasma was half that of the CW plasma. It should be noted that ions may not exist during the off-time considering their short lifetimes, while radicals can exist during the off-time due to their long lifetimes [18]; hence, radicals formed passivation layers on the SiO2 and poly-Si surface during off-time. As the passivation layers protecting the wafer were the same with both plasma types, the reduced ion bombardment with the pulse plasma resulted in decreased surface etching compared to CW plasma. Thus, pulse-modulated plasma had higher selectivity than CW plasma.
In Figure 7, the etch profiles, SiO2 etch rates, and SiO2 to Si selectivity are shown as functions of pulse off-time, which varied from 2 to 11.3 ms. The bottom of the etched feature was narrower than the top feature at the 11.3 ms off-time, giving a triangular etch profile. As the off-time decreased, the bottom feature width increased, leading to anisotropic etching. Additionally, the etch rate increased from 4.3 to 45.05 nm/min, and the selectivity decreased from 10.98 to 9.59.
In Figure 8, the radical densities, electron density, and self-bias are shown with an off-time ranging from 2 to 18 ms. Here, the on-time was fixed to 2 ms. The C, F, CF2, and CF3 radical densities increased, while the C2F4 and C3F5 radical densities decreased with decreasing off-time. The cause of these results was that the low mass radicals combined to form high mass radicals during the plasma power off-time. These high mass products enhanced the passivation layer formation. The self-bias did not change much when the off-time decreased, while the time-averaged self-bias increased rapidly as the off-time decreased. The self-bias is obtained as the Vdc of the on-time voltage wave form; on the other hand, the averaged self-bias is obtained as the Vdc of the total voltage wave form. Therefore, a decreasing averaged self-bias does not lead to decreasing ion bombardment energy. These results show that the energy of the sputtered ions was the same, but as the sputtering time increased with decreasing off-time, the etch rate increased and the selectivity decreased. In the case of electron density, it affected the ion flux on the wafer surface. The electron density increased with the decreasing off-time, representing an ion flux increase. Therefore, both the ion sputtering rate and the chemical etching of the radicals increased as the off-time decreased.
In the plasma process, not only are the etch rate and the selectivity important but so is the shape of the trench. As can be seen in the top-left panel of Figure 5, the edge of the bottom feature was etched lower than the center of the bottom feature in the CW condition. This phenomenon is called micro-trenching [33], and its cause has been reported [34]. It is also known that pulse-modulated plasma reduces the micro-trench effect [34]; Figure 9 represents this mechanism. Positive ions are accelerated in the plasma sheath, anisotropically toward the substrate, while electrons isotropically move in the plasma sheath. As a result, the bottom of the trench is positively charged because of the anisotropic positive ions, and the side of the trench is negatively charged from the isotropic electrons. Charge differences between the sides and bottom of the trench create an electric field, which bends the ions toward the side walls, resulting in micro-trenching. In the etch profiles from 2 and 4 ms pulse plasma in Figure 5, no micro-trenching appears. It has been reported that the ions losing directionality during the power off-time causes the disappearance of micro-trenching [34]; the time-varying self-bias data in the present work verified this.
Figure 10 shows the time-varying input voltage of the powered electrode in pulse plasma as a function of off-time. The Vdc of the data is the self-bias. The ions are accelerated by the Vdc; since Vdc is almost zero during pulse off-time, ions do not accelerate during the off-time. Therefore, the positive ions move isotropically like electrons, which reduces the micro-trenching phenomenon [34].
Referring to Figure 4 and Figure 8, the averaged self-bias from 100 W power with 2 ms off-time is −160 V, while that from 400 W power with 11 ms off-time is −120 V, showing that ion acceleration is lower at 400 W. Therefore, this condition should produce less etching than the 100 W condition. However, Figure 4 also shows that the 400 W condition is more deeply etched because the self-bias value, which means the on-time self-bias only, is high at −675 V. The shape of the etch profile is not anisotropic, but a triangle. On the other hand, the 100 W condition shows deposition rather than etching. Figure 11 shows the mechanism of triangular etching and deposition. A passivation layer deposits on the entire wafer surface due to radicals. As the radicals survive during power off-time because of their long lifetime, the thickness of the passivation layer increases under the 11 ms off-time condition. During the on-time, ions remove the passivation layer with high ion bombardment energy. Since the accelerating ions move straight, though, the sides of the passivation layer are not sufficiently removed, and deposition is repeated during the next power off-time. As a result, the side walls gradually narrow to form an etched triangle. In the 100 W condition, the passivation layer is also formed, but as the off-time is short, the thickness of the layer is smaller. Then during the power on-time, the accelerating ions do not remove the passivation layer because the self-bias is weak at almost −200 V. Therefore, although the averaged self-bias is higher, when the self-bias is weak during on-time, etching does not occur, but rather deposition.

4. Conclusions

To interpret pulse-modulated plasma etching, a SiO2 wafer with a poly-Si patterned mask was etched under various plasma conditions while the key plasma parameters—radical species density, self-bias, and electron density—were measured. The chemical properties of the plasma were interpreted by the radical densities, while the physical properties of the plasma were interpreted by self-bias and electron density. Additionally, using all plasma parameters, the shapes of the etch profiles were investigated. Results showed that when the RF power increased, the SiO2 etch rate also increased because of the increasing self-bias. Additionally, as the power on/off time increased, the micro-trenching phenomenon disappeared because negative ions neutralized the wafer surface. When the power off-time increased, the etch rate decreased since the high mass radicals increased and the averaged self-bias decreased. It was also found that a triangular etch profile occurred due to the low Vdc during the power off-time and the high Vdc during the power on-time.
As a fundamental study to understand pulse plasma characteristics and etching properties, the results here can be examined to clarify how plasma parameters change in pulse-modulated plasma and to analyze SiO2 etching results using the parameters. This fundamental understanding will help to interpret more complex experimental plasma etching properties.

Author Contributions

Data curation, C.C.; Formal analysis, C.C., S.K., Y.L., J.L. and S.Y.; Project administration, C.C.; Supervision, K.Y. and S.Y.; Writing—original draft, C.C.; Writing—review & editing K.Y., S.K., Y.L., J.L. and S.Y. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by a National Research Council of Science & Technology (NST) grant from the Korean government (MSIP) (No. CAP-17-02-NFRI), by the Industrial Strategic Technology Development Program-Next Generation Semiconductor R&D (20010412, Development of Metal Oxide Carbon Layer Strip Process and Commercial Equipment for EUV Mask) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea), by the Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the MOTIE of the Republic of Korea (No. 20172010105910), by the MOTIE (20009818, 20010420) and KSRC (Korea Semiconductor Research Consortium) support program for the development of future semiconductor devices, by a Korea Institute for Advancement of Technology (KIAT) grant funded by the Korean government (MOTIE) (P0008458, The Competency Development Program for Industry Specialist), and by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2020R1A6A1A03047771).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Hayashi, H.; Kurihara, K.; Sekine, M. Characterization of Highly Selective SiO2/Si3N4 Etching of High-Aspect_Ratio Holes. Jpn. J. Appl. Phys. 1996, 35, 2488–2493. [Google Scholar] [CrossRef]
  2. Kasternmeier, B.E.E.; Matsuo, P.H.; Oehrlein, G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179–3184. [Google Scholar] [CrossRef] [Green Version]
  3. Suto, S.; Hayasaka, N.; Okano, H.; Horiike, Y. Highly Selective Etching of Si3N4 to SiO2 Employing Fluorine and Chlorine Atoms Generated by Microwave Discharge. J. Electrochem. Soc. 1989, 136, 2032–2034. [Google Scholar] [CrossRef]
  4. Fang, Y.; Zhang, J.; Jiang, Y. Highly selective etch of silicon dioxide with tungsten hard mask deposited by PVD process. In Proceedings of the 2018 18th International Workshop on Junction Technology (IWJT), Shanghai, China, 8–9 March 2018. [Google Scholar]
  5. Agarwal, A.; Kushner, M.J. Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity. J. Vac. Sci. Technol. A 2005, 23, 1440–1449. [Google Scholar] [CrossRef]
  6. Volynets, V.; Barsukov, Y.; Kim, G.; Jung, J.; Nam, S.K.; Han, K.; Huang, S.; Kushner, M.J. Highly selective Si3N4/SiO2 etching using an NF3/N2/O2/H2 remote plasma. I. Plasma source and critical fluxes. J. Vac. Sci. Technol. A 2020, 38, 023007. [Google Scholar] [CrossRef]
  7. Doh, H.; Kim, J.; Whang, K.; Lee, S. Effect of hydrogen addition to fluorocarbon gases (CF4, C4F8) in selective SiO2/Si etching by electron cyclotron resonance plasma. J. Vac. Sci. Technol. A 1996, 14, 1088–1091. [Google Scholar] [CrossRef]
  8. Donnelly, M.; Flamm, D.L.; Dautremont-Smith, W.C.; Werder, D.J. Anisotropic etching of SiO2 in low-frequency CF4/O2 and NF3/Ar plasmas. J. Appl. Phys. 1984, 55, 242–252. [Google Scholar] [CrossRef]
  9. Matsuura, T.; Uetake, H.; Ohmi, T.; Murota, J.; Fukuda, K.; Mikoshiba, N.; Kawashima, T.; Yamashita, Y. Directional etching of Si with perfect selectivity to SiO2 using an ultraclean electron cyclotron resonance plasma. Appl. Phys. Lett. 1990, 56, 1339–1341. [Google Scholar] [CrossRef]
  10. Huang, S.; Shim, S.; Nam, S.K.; Kushner, M.J. Pattern dependent profile distortion during plasma etching of high aspect ratio features in SiO2. J. Vac. Sci. Technol. 2020, 38, 023001. [Google Scholar] [CrossRef]
  11. Huang, S.; Huard, C.; Shim, S.; Nam, S.K.; Song, I.C.; Lu, S.; Kushner, M.J. Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures: A computational investigation. J. Vac. Sci. Technol. 2019, 37, 031304. [Google Scholar] [CrossRef] [Green Version]
  12. Chen, W.; Morikawa, Y.; Itoh, M.; Hayashi, T.; Sugita, K.; Shindo, H.; Uchida, T. Very uniform and high aspect ratio anisotropy SiO2 etching process in magnetic neutral loop discharge plasma. J. Vac. Sci. Technol. A 1999, 17, 2546–2550. [Google Scholar] [CrossRef]
  13. Matsui, J.; Nakano, N.; Petrović, Z.L.; Makabe, T. The effect of topographical local charging on the etching of deep-submicron structures in SiO2 as a function of aspect ratio. Appl. Phys. Lett. 2001, 78, 883–885. [Google Scholar] [CrossRef] [Green Version]
  14. Radjenovic, B.M.; Radmilovic-Radjenovic, M.D.; Petrovic, Z.L. Dynamics of the Profile Charging During SiO2 Etching in Plasma for High Aspect Ratio Trenches. IEEE Trans. Plasma Sci. 2008, 36, 874–875. [Google Scholar] [CrossRef]
  15. Hartmann, P.; Wang, L.; Nösges, K.; Berger, B.; Wilczek, S.; Brinkmann, R.P.; Mussenbrock, T.; Juhasz, Z.; Donkó, Z.; Derzsi, A.; et al. Control of electron velocity distributions at the wafer by tailored voltage waveforms in capacitively coupled plasmas to compensate surface charging in high-aspect ratio etch features. J. Phys. D Appl. Phys. 2021, 54, 255202. [Google Scholar] [CrossRef]
  16. Yang, Z.; Hung, M.-F.; Chang, K.-P.; Lin, C.-Y.; Chang, S.-Y.; Lee, H.-J.; Lian, N.-T.; Yang, T.; Chen, K.-C.; Lu, C.-Y. Reduction of wafer arcing during high aspect ratio etching. In Proceedings of the 2017 28th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), Saratoga Springs, NY, USA, 15–18 May 2017; pp. 421–425. [Google Scholar]
  17. Ohtake, H.; Samukawa, S. Charging-damage-free and precise dielectric etching in pulsed C2F4/CF3I plasma. J. Vac. Sci. Technol. B 2002, 20, 1026–1030. [Google Scholar] [CrossRef]
  18. Ohmori, T.; Goto, T.K.; Kitajima, T.; Makabe, T. Negative charge injection to a positively charged hole exposed to plasma etching in a pulsed two-frequency capacitively coupled plasma in CF4/Ar. Appl. Phys. Lett. 2003, 83, 4637–4639. [Google Scholar] [CrossRef]
  19. Samukawa, S.; Mieno, T. Pulse-time modulated plasma discharge for highly selective, highly anisotropic and charge free etching. Plasma Sources Sci. Technol. 1996, 5, 132–138. [Google Scholar] [CrossRef]
  20. Zhang, P. Study on the Pulse Phase Lag Effect on Two Mask Holes during Plasma Etching. Braz. J. Phys. 2021, 51, 1117–1126. [Google Scholar] [CrossRef]
  21. Wang, J.-C.; Tian, W.; Rauf, S.; Sadighi, S.; Kenney, J.; Stout, P.; Vidyarthi, V.S.; Guo, J.; Zhou, T.; Delfin, K.; et al. A model for etching of three-dimensional high aspect ratio silicon structures in pulsed inductively coupled plasmas. Plasma Sources Sci. Technol. 2018, 27, 094003. [Google Scholar] [CrossRef]
  22. Rauf, S.; Balakrishna, A. SiO2 etching in an Ar/c-C4F8/O2 dual frequency capacitively coupled plasma. J. Vac. Sci. Technol. A 2017, 35, 021308. [Google Scholar] [CrossRef]
  23. Brichon, P.; Despiau-Pujo, E.; Mourey, O.; Joubert, O. Key plasma parameters for nanometric precision etching of Si films in chlorine discharges. J. Appl. Phys. 2015, 118, 053303. [Google Scholar] [CrossRef]
  24. Samukawa, S. High-performance and Damage-free Plasma Etching Processes for Future ULSI Patterning. Microelectron. Eng. 2000, 53, 69–76. [Google Scholar] [CrossRef]
  25. Jeon, M.H.; Mishra, A.K.; Kang, S.-K.; Kim, K.N.; Kim, I.J.; Lee, S.B.; Sin, T.H.; Yeom, G.Y. Characteristics of SiO2 etching by using pulse-time modulation in 60 MHz/2 MHz dual-frequency capacitive coupled plasma. Curr. Appl. Phys. 2013, 13, 1830–1836. [Google Scholar] [CrossRef]
  26. Song, S.-H.; Kushner, M.J. Control of electron energy distributions and plasma characteristics of dual frequency, pulsed capacitively coupled plasmas sustained in Ar and Ar/CF4/O2. Plasma Sources Sci. Technol. 2012, 21, 055028. [Google Scholar] [CrossRef] [Green Version]
  27. Tokashiki, K.; Cho, H.; Banna, S.; Lee, J.-Y.; Shin, K.; Todorow, V.; Kim, W.-S.; Bai, K.; Joo, S.; Choe, J.-D.; et al. Synchronous Pulse Plasma Operation upon Source and Bias Radio Frequencys for Inductively Coupled Plasma for Highly Reliable Gate Etching Technology. Jpn. J. Appl. Phys. 2009, 48, 08HD01. [Google Scholar] [CrossRef]
  28. Singh, H.; Coburn, J.W.; Graves, D.B. Appearance potential mass spectrometry: Discrimination of dissociative ionization products. J. Vac. Sci. Technol. A 2000, 18, 299–305. [Google Scholar] [CrossRef]
  29. Liebermann, M.A.; Lichtenberg, A.J. 6.6 Electrostatic Probe Diagnostics, Principles of Plasma Discharges and Materials Processing, 2nd ed.; John Wiley & Sons, Inc.: Danvers, MA, USA, 2005; pp. 195–202. [Google Scholar]
  30. You, S.J.; Kim, S.S.; Kim, J.H.; Seong, D.J.; Shin, Y.H.; Chang, H.Y. Gap length effect on electron energy distribution in capacitive radio frequency discharges. J. Appl. Phys. Lett. 2007, 91, 221507. [Google Scholar] [CrossRef]
  31. Korea Institute of Fusion Energy, Data Center for Plasma Propertices. Available online: http://dcpp.kfe.re.kr (accessed on 16 October 2017).
  32. Kim, J.-H.; Choi, S.-C.; Shin, Y.-H.; Chung, K.-H. Wave cutoff method to measure absolute electron density in cold plasma. Rev. Sci. Instrum. 2004, 75, 2706–2710. [Google Scholar] [CrossRef]
  33. Westerheim, A.C.; Labun, A.H.; Dubash, J.H.; Arnold, J.C.; Sawin, H.H.; YuWang, V. Substrate bias effects in high aspect ratio SiO2 contact etching using an inductively coupled plasma reactor. J. Vac. Sci. Technol. A 1995, 13, 853–858. [Google Scholar] [CrossRef]
  34. Economou, D.J. Pulsed plasma etching for semiconductor manufacturing. J. Phys. D Appl. Phys. 2014, 47, 30300. [Google Scholar] [CrossRef] [Green Version]
Figure 1. (a) Schematic of the chamber, and (b) diagnostic equipment set-up.
Figure 1. (a) Schematic of the chamber, and (b) diagnostic equipment set-up.
Materials 14 05036 g001
Figure 2. Pulse-modulated power scheme.
Figure 2. Pulse-modulated power scheme.
Materials 14 05036 g002
Figure 3. SiO2 etching profile, etch rate, and selectivity of SiO2/Si at increasing power. * Etch rate cannot be expressed.
Figure 3. SiO2 etching profile, etch rate, and selectivity of SiO2/Si at increasing power. * Etch rate cannot be expressed.
Materials 14 05036 g003
Figure 4. Diagnostic data as a function of input power: (a) radical densities, (b) self-bias and averaged self-bias, and (c) electron density. The parameters for these experiments were a CW RF power, a pressure of 20 mTorr, and a C4F8/Ar gas ratio of 1:1.
Figure 4. Diagnostic data as a function of input power: (a) radical densities, (b) self-bias and averaged self-bias, and (c) electron density. The parameters for these experiments were a CW RF power, a pressure of 20 mTorr, and a C4F8/Ar gas ratio of 1:1.
Materials 14 05036 g004
Figure 5. SiO2 etching profile, etch rate, and selectivity of SiO2/Si at increasing on/off times.
Figure 5. SiO2 etching profile, etch rate, and selectivity of SiO2/Si at increasing on/off times.
Materials 14 05036 g005
Figure 6. Diagnostic data as a function of on/off time: (a) radical densities, (b) self-bias and averaged self-bias, and (c) electron density. The parameters for these experiments were a RF power of 400 W, a pressure of 20 mTorr, a C4F8/Ar gas ratio of 1:1, and a pulse off-time equal to the on-time.
Figure 6. Diagnostic data as a function of on/off time: (a) radical densities, (b) self-bias and averaged self-bias, and (c) electron density. The parameters for these experiments were a RF power of 400 W, a pressure of 20 mTorr, a C4F8/Ar gas ratio of 1:1, and a pulse off-time equal to the on-time.
Materials 14 05036 g006
Figure 7. SiO2 etching profile, etch rate, and selectivity of SiO2/Si at decreasing off-times.
Figure 7. SiO2 etching profile, etch rate, and selectivity of SiO2/Si at decreasing off-times.
Materials 14 05036 g007
Figure 8. Diagnostic data as a function of off-time: (a) radical densities, (b) self-bias and averaged self-bias, and (c) electron density. The parameters for this experiment were a RF power of 400 W, a pressure of 20 mTorr, a C4F8/Ar gas ratio of 1:1, and a pulse on-time of 2 ms.
Figure 8. Diagnostic data as a function of off-time: (a) radical densities, (b) self-bias and averaged self-bias, and (c) electron density. The parameters for this experiment were a RF power of 400 W, a pressure of 20 mTorr, a C4F8/Ar gas ratio of 1:1, and a pulse on-time of 2 ms.
Materials 14 05036 g008
Figure 9. Micro-trench etching mechanism with continuous wave plasma and pulse-modulated plasma.
Figure 9. Micro-trench etching mechanism with continuous wave plasma and pulse-modulated plasma.
Materials 14 05036 g009
Figure 10. Input voltage of the powered electrode in pulse-modulated plasma at increasing off-time.
Figure 10. Input voltage of the powered electrode in pulse-modulated plasma at increasing off-time.
Materials 14 05036 g010
Figure 11. Etch profile mechanism in two different conditions: (a) RF power of 400 W and off-time of 11 ms giving a triangular etch profile, and (b) RF power of 100 W and off-time of 2 ms giving a deposition profile.
Figure 11. Etch profile mechanism in two different conditions: (a) RF power of 400 W and off-time of 11 ms giving a triangular etch profile, and (b) RF power of 100 W and off-time of 2 ms giving a deposition profile.
Materials 14 05036 g011
Table 1. Symbols representing the parameters used in Equations (1)–(3).
Table 1. Symbols representing the parameters used in Equations (1)–(3).
ParametersSymbols
Linear fitting of QMS signalA
Linear fitting of ionization cross section λ
X radical DensitynX
Transmission probabilityt
Detection probability θ
Chamber pressurep
Boltzmann constantk
Gas temperatureT
QMS signalS
Ionization cross section σ
Table 2. Labels to be displayed in Figures 4a, 6a and 8a substituting each radical species to labels.
Table 2. Labels to be displayed in Figures 4a, 6a and 8a substituting each radical species to labels.
Radical SpeciesLabels
Ca
Fb
CFc
CF2d
CF3e
C2F3f
CF4g
C2F4h
C3F4i
C3F5j
C4F6k
Arl
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Cho, C.; You, K.; Kim, S.; Lee, Y.; Lee, J.; You, S. Characterization of SiO2 Etching Profiles in Pulse-Modulated Capacitively Coupled Plasmas. Materials 2021, 14, 5036. https://doi.org/10.3390/ma14175036

AMA Style

Cho C, You K, Kim S, Lee Y, Lee J, You S. Characterization of SiO2 Etching Profiles in Pulse-Modulated Capacitively Coupled Plasmas. Materials. 2021; 14(17):5036. https://doi.org/10.3390/ma14175036

Chicago/Turabian Style

Cho, Chulhee, Kwangho You, Sijun Kim, Youngseok Lee, Jangjae Lee, and Shinjae You. 2021. "Characterization of SiO2 Etching Profiles in Pulse-Modulated Capacitively Coupled Plasmas" Materials 14, no. 17: 5036. https://doi.org/10.3390/ma14175036

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop