Next Article in Journal
Laser Welding of SLM-Manufactured Tubes Made of IN625 and IN718
Next Article in Special Issue
Effect of Doping Temperatures and Nitrogen Precursors on the Physicochemical, Optical, and Electrical Conductivity Properties of Nitrogen-Doped Reduced Graphene Oxide
Previous Article in Journal
Effect of La2O3 on Microstructure and Thermal Conductivity of La2O3-Doped YSZ Coatings
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Synthesis of Vertically Oriented Graphene Sheets or Carbon Nanowalls—Review and Challenges

Department of Surface Engineering, Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana, Slovenia
*
Author to whom correspondence should be addressed.
Materials 2019, 12(18), 2968; https://doi.org/10.3390/ma12182968
Submission received: 23 August 2019 / Revised: 9 September 2019 / Accepted: 11 September 2019 / Published: 12 September 2019
(This article belongs to the Special Issue Carbon-Based Materials)

Abstract

:
The paper presents a review on the current methods for deposition of vertically oriented multilayer graphene sheets (often called carbon nanowalls—CNWs) on solid substrates. Thin films of CNWs are among the most promising materials for future applications in capacitors, batteries, electrochemical devices, and photovoltaics, but their application is currently limited by slow deposition rates and difficulties in providing materials of a desired structure and morphology. The review paper analyzes results obtained by various groups and draws correlations between the reported experimental conditions and obtained results. Challenges in this scientific field are presented and technological problems stressed. The key scientific challenge is providing the growth rate as well as morphological and structural properties of CNWs thin films versus plasma parameters, in particular versus the fluxes of reactive plasma species onto the substrate surface. The technological challenge is upgrading of deposition techniques to large surfaces and fast deposition rates, and development of a system for deposition of CNWs in the continuous mode.

Graphical Abstract

1. Introduction

Nanocarbon has attracted enormous attention in the past two decades. It can exist in various configurations such as graphene, carbon nanotubes (CNTs), or carbon nanowalls (CNWs). Robert F. Curl Jr., Sir Harold W. Kroto and Richard E. Smalley received the Noble prize in chemistry in 1996 for discovery of fullerenes, while Andre Geim and Konstantin Novoselov in physics in 2010 for ground-breaking experiments regarding the two-dimensional material graphene. S. IIjima has been a candidate for the prize, too, for discovery of carbon nanotubes, and he received the first Kavli prize in Nanotechnology in 2008. Many research groups are nowadays involved in research on nanocarbon worldwide. In Figure 1, a comparison of publications per year on a synthesis of CNTs and CNWs is shown. Nanocarbon in the form of CNWs has attracted less attention but represents a promising material for application in fuel cells, lithium ion batteries, photovoltaic devices, thin-film transistors, sensors of specific gaseous molecules, field-emission devices, batteries, light absorbers, enhanced detectors for electrochemical and gas sensors, supercapacitors and scaffolds for tissue engineering [1,2,3,4,5,6,7,8]. The unique property of carbon nanowalls versus any other known material is a combination of stability, chemical inertness, electrical conductivity, and huge surface-to-mass ratio. Carbon nanowalls are often referred to as “multilayer graphene sheets stretching perpendicularly to the substrate surface”. Such vertically oriented graphene sheets have a high density of atomic-scale graphitic edges that are potential sites for electron field emission [9]. Due to their high surface-to-mass ratio they are also good candidates for biosensors and energy storage applications [9]. Since awarding the Nobel prize, tens of thousands research groups have been involved in basic research as well as in application of graphene worldwide and promising results were reported; however, mass application of this type of carbon is yet to be realized. A way to implement this material in a mass production is depositing graphene perpendicular to a substrate surface and thus taking full advantage of its unique properties. This review paper intends to present current state-of-the-art on methods for deposition of carbon nanowalls as well as their properties where they are reported. Exact growth mechanisms are far from being well-understood; therefore, both theoretical and experimental study is yet to be performed.
A typical SEM image of CNWs is shown in Figure 2. CNWs were first synthesized more than 10 years ago and can be deposited onto a substrate using a classical plasma-enhanced chemical vapor deposition (PECVD) method [10,11,12,13]. A carbon-containing gas (usually methane CH4 or acetylene C2H2) is partially atomized and ionized upon plasma conditions and the resultant radicals condensate on a substrate surface. Upon limited range of experimental conditions, carbon in the form of nanowalls (multilayer graphene sheets) grows on the substrate surface. The commonly accepted growth mechanism for CNWs is illustrated in Figure 3 and can be summarized as follows [9,14]:
  • Adsorption of CH3 radicals and formation of amorphous carbon layer on the substrate.
  • Formation of defects and dangling bonds because of ion irradiation leading to the formation of nucleation sites.
  • Migration of carbon species and formation of nanoislands with dangling bonds.
  • Nucleation of small graphene nanosheets on dangling bonds followed by a two-dimensional growth.
  • Formation of nanographene sheets with a random orientation.
  • Bonding of reactive carbon species to the edge of graphene sheets. Nanosheets that are standing almost vertically preferably grow faster and shadow low-lying graphene sheets, therefore their growth is suppressed.
Images taken by scanning electron microscopy (SEM) at various deposition times confirm the above mechanism, at least for experimental conditions adopted by [13,15,16].
Hydrogen was found to play a significant role in the growth process of CNWs by numerous authors [17,18,19]. Hydrogen is needed to etch and remove any amorphous carbon that is formed on the substrates, furthermore, it prevents formation of additional graphene layers by etching weakly bounded carbon atoms and it was found to enhance migration of carbon precursors [14]. Therefore, addition of hydrogen can greatly improve the quality of CNWs. The quality of CNWs can be also enhanced by addition of argon and even oxygen. By addition of argon and/or oxygen it was found to be possible to control the density of nanoislands in the initial stage of growth and thus consequently also the density of CNWs. This is the reason why CNWs are most frequently synthesized using a mixture of CH4 with H2 and Ar, where researchers usually use different pressures and gas flows to influence the deposition rate as well as quality of CNWs.
CNWs can be also formed by employing C2F6 gas in a mixture with hydrogen or oxygen [16]. In this case, C and CF3 radicals serve as building blocks, whereas hydrogen atoms are needed for abstraction of fluorine from the growing film. A mechanism for CNWs growth in a non-equilibrium C2F6 gas environment was proposed by Kondo et al. [16]. Another example of CNWs synthesis is an application of a CO/H2 gas environment upon heavily non-equilibrium conditions [20]. CNWs were observed only if H2 was added, whereas formation of nanofibers was observed if CO was mixed with Ar/O2 [21]. In recent years, CNWs were also successfully synthesized by using other precursors like organic precursor (p-xylene [22], ethanol or hexane [23,24]) or metal-organic precursors (aluminum acetylacetonate [25]).
A drawback of the currently known techniques for synthesizing CNWs is a low growing rate and inability to obtain uniform coatings on large substrates. Therefore, they are inappropriate for industrial application at this stage of the scientific knowledge. So far, researchers have managed to obtain uniform coatings on surfaces measured in square centimeters and the growth is accomplished in a time scale of minutes if not hours. The key problem arises from the fact that deposition rates using CHx radicals cannot be enhanced because carbon agglomerates at elevated pressure (forming dusty plasma); therefore, the structure of the deposit is not appropriate—instead of nanowalls, carbon of various morphological shapes including soot or hydrogenated amorphous carbon grows at elevated pressure. So far, few alternatives to CHx radicals have been reported except for CO and C2F6 as mentioned above.
Another problem limiting the application of carbon nanowalls on industrial scale is associated with deposition of CNWs at rather high substrate temperatures. Currently available methods allow deposition of CNWs only at temperatures in the range of 600–800 °C that are not appropriate for deposition of CNWs on polymer substrates [10]. Temperatures even higher than 800 °C were also reported. The researchers observed that the quality of CNWs is increasing with increasing temperature and also their growth rate [17,19,25,26,27,28,29]. Substrate temperature has therefore an important effect on their size and density. If the temperature was too low, CNWs did not grow and only an amorphous carbon layer was observed, or carbon in other morphological nanostructures [26,29]. Tii et al. found that addition of Ar to N2/CH4 gas system could lower the substrate temperature for CNWs deposition to approximately 650 °C [30]. Contrary, Park et al. reported deposition of CNWs at temperatures a bit lower than 400 °C (depending on the substrate material) [31]. Additionally, Singh [32] managed to synthesize CNWs on a glass surface at a temperature of approximately 400 °C, however he used hot-wire chemical vapor deposition. Although it was reported that graphene films have been deposited at low temperatures such as 240 °C [33], and carbon nanotubes (CNT) at just 120 °C [34], it is still a big challenge for researchers working on deposition of vertically oriented graphene sheets to minimize the substrate temperature. In one experiment, CNWs were deposited onto SiO2 substrates at various substrate temperatures ranging from 600 to 800 °C [26]. The deposition was performed using ECR-PECVD (electron-cyclotron resonance plasma-enhanced chemical vapor deposition) in CH4/Ar environment. The authors found an important effect of the substrate temperature on the vertical growth of CNWs through nanoscale graphitic islands. In this paper, 600 °C was found to be a minimum temperature where formation of nano-graphitic islands was observed. These two-dimensional nanoislands changed to three-dimensional structures when the substrate temperature was increased to 625 °C. However, further increase of the sample temperature led to formation of a higher density of CNWs. Their height and growth rate increased with increasing temperature and a formation of nest-like structure was observed [26]. In another experiment, Gentoiu et al. [29] found strong dependence of a structure, morphology, and graphitization on deposition temperature. At low temperatures ~200 °C carbon nanotubes (CNTs) were formed, at temperatures ~300–400 °C rather amorphous carbon nanoparticles appeared, whereas at temperatures ~500–700 °C formation of CNWs was observed. These experiments clearly show that the temperature has an important effect on the morphology and growth of CNWs. Deposition of CNWs is thus currently still limited to materials that can withstand high temperatures.
Besides temperature, also the choice of a substrate material may influence the initial stage of the carbon cluster nucleation because of a different lattice matching with graphite and consequently different quality of CNWs may be formed [10]. In addition, carbon solubility in the substrate material may have a strong influence on the nucleation and growth of CNWs as reported by Giese at al. [25]. The authors investigated deposition of CNWs on various substrates including stainless steel, aluminum, nickel and silicon which strongly differ in carbon solubility. The effect of a bias voltage and substrate temperature was investigated as well. With increasing bias voltage and temperature, the morphology changed from nanorods which were formed at low bias voltage and temperature, to thorny structures, followed by straight CNWs, whereas curled CNWs were formed at high bias voltages and temperatures. These growth regimes were shifted for different materials. On stainless steel and aluminum all mentioned structures were formed, however they appeared at different bias voltage and temperature. Whereas for Ni and Si, no nanorods were formed and only straight and curled CNWs were found. This was explained by difference in carbon bulk and surface diffusion for these materials and different affinity to form carbides at the surface. Additionally, Vizireanu et al. [35] investigated the effect of substrate material on CNWs synthesis and their morphology. CNWs were deposited on various substrates including SiO2/Si, titanium, stainless steel, Quartz, MgO, and carbon paper, that were previously covered with clustered nickel catalyst. The authors found that the type, morphology, and electrical characteristics (conductive, insulator, or semiconductor) were not important for CNWs growth.

2. Early Scientific Documents of Plasma Synthesis of Carbon Nanowalls

An excellent and comprehensive review summarizing earlier achievements in CNWs deposition was prepared by Himatsu and Hori in 2010 [14]. Appropriate references of the earlier papers are summarized in this classical monograph. The first report on the synthesis of CNWs structures appeared in scientific literature in 2002 by Wu et al. [36]. The gases used were methane and hydrogen of flow rates 40 and 10 sccm, respectively. Such a gas mixture is a natural choice for depositing any carbon nanomaterials, because methane partially dissociates and ionizes upon plasma conditions and the radicals such as C, CH, CH2, and CH3 stick to the substrate surface. A rather high substrate temperature of about 700 °C was used to favor decomposition of hydrogenated carbon radicals to almost pure carbon suitable for growing carbon structures almost free from hydrogen. Additional DC biasing was applied to deliver more energy to the substrates upon growing of CNWs. A catalyst (typically NiFe) was applied to stimulate the nucleation. Addition of hydrogen was essential because atomic hydrogen and positively charged ions caused removal of weaker-bonded carbon what was found beneficial for appropriate structure of the CNWs. Wu et al. found their CNWs suitable for application in batteries, light-emitting and conversion devices, catalysts, and other areas requiring high surface area materials.
In 2005 the group of Shiji [37] reported fabrication of CNWs by capacitively coupled radio-frequency plasma enhanced chemical vapor deposition (CCP-PECVD) employing fluorocarbon/hydrogen mixtures. Correlation between CNWs growth and fabrication conditions, such as the carbon source gases, was investigated. In addition, the influence of H-atom density in the plasma was measured using vacuum ultraviolet absorption spectroscopy to discuss the growth mechanism of CNWs.
Additionally, also in 2005, Tanaka et al. [38] reported growth of CNWs on a SiO2 substrate by microwave plasma enhanced chemical vapor deposition. They investigated the growth process and revealed that the CNWs grew at the fine-textured structure on SiO2 and the growth process did not require the catalyst (as opposite to Wu et al.). It was found that the height of CNWs as a function of growing time obeyed the square-root law. Rather high growth rates of approximately 10 micrometers per hour were achieved. They also used hydrocarbons with hydrogen as a useful gas mixture.
Dikonimos et al. [39] reported CNWs with a maximum longitudinal dimension ranging from 10 to 200 nm and a wall thickness lower than 5 nm. Such structures were grown in a high-frequency chemical vapor deposition reactor on Si substrates. The growth precursor was methane diluted with a noble gas (He). The growth rate and film morphology were explored. The experimental setup consisted of a two-grid system which allowed to vary the voltage and current density on the substrate surface independently. An increase of growth rate was observed as the film thickness increased from a few nanometers to about 200 nm when the substrate current density was increased.
The importance of hydrogen in the gas mixtures was elaborated by Cui et al. [17]. Without addition of H2, graphite sheets were difficult to produce, and the film contained other forms of carbon. At small H2 fluxes (40 sccm), the carbon nano-sheets were not clearly distinguished. When H2 flux was increased the vertical graphene sheets became more obvious (80–120 sccm). However, if the H2 flow was too high (150 sccm) the density of the vertical sheets decreased. At low H2 flow rates, the supply of hydrogen was insufficient to etch away the amorphous part, however at high H2 flow rates also CNWs were etched by excessive hydrogen [17]. Therefore, at optimal conditions a mixture should contain just the right density ratio of CHx radicals acting as a source of carbon species and hydrogen atoms needed to etch away the amorphous part. Similar findings were also found by Jiang et al. [18].
Teii et al. [30] revealed the importance of Ar in the production of C2 dimers, which were found to be the most important radicals responsible for CNWs growth. He performed synthesis of CNWs in ASTex microwave plasma using Ar/N2/CH4 or Ar/N2/C2H2 gas mixtures with various Ar concentrations. The amount of C2 dimers was increasing by adding Ar. Furthermore, addition of Ar reduced the substrate temperature needed for CNWs deposition to 650 °C. Rather high deposition rates of approximately 1 µm/min were obtained.
Vizireanu et al. [35] synthesized CNWs structures in Ar/H2/C2H2 mixture on various substrates including SiO2/Si, Ti, stainless steel, Quartz glass, MgO, carbon paper, that were previously covered with clustered Ni catalyst. SEM images of CNWs on various substrates revealed that the type, morphology and electrical characteristics of the substrates (conductive, insulator, or semiconductor) were not important for CNWs growth. A deposition rate was approximately 1 µm per 30 min. The authors also investigated the influence of the pressure and gas flows. It was found that the quality of CNWs could be altered by changing the pressure or Ar flow. CNWs with large length-to-thickness ratio and well-isolated between themselves were deposited at low pressure and high carrier flow rates, whereas poor quality of CNWs was obtained at high pressure or low Ar flow.
Jiang et al. [18] also investigated the morphology of CNWs grown in CH4/H2 mixture at various CH4 flow rates and CH4 to H2 ratios. CH4 flow rate was changed from 5 to 100 sccm whereas H2 flow rate was kept constant. It was found that the size of graphene sheets first increased with increasing CH4 flow rate, reached a maximum in the range of flows 10–30 sccm, and then decreased with further increase of the CH4 flow rate. This result was explained by higher density of nucleation sites, faster nucleation, and sufficient density of carbon radicals with increasing flow rate. However, if the flow rate was too high, too high density of the nucleation sites was reported, thus hindering the nucleus from growing into large sizes of graphene sheets because of insufficient interspace between the neighbouring nuclei. Moreover, when CH4 flow rate was manipulated, also CH4 to H2 ration was changed which influenced the etching effect of hydrogen radicals. Too high H2 content led to a small size of the graphene sheets because of the excessive etching according to Jiang. Therefore, it was concluded that controlling the dynamic competition between growth and etching was the key factor for obtaining good quality of CNWs.
Davami et al. [40] investigated the morphology of CNWs grown in CH4/H2 systems on various substrates including Cu, Si, or Si coated with a thin layer of Ni or Au. The authors found that CNWs on pure Si substrates were denser and thinner in comparison to CNWs deposited on Si/Ni or Si/Au substrates, whereas CNWs on Cu were much finer than on all other substrates.
The growth rate of PECVD techniques is usually limited to tens of nanometers per minute that is insufficient for practical applications. Zhang et al. [41] used “high density meso-plasma CVD” and obtained fast growth rate of the order of ~10 µm/min, depending on a power of a radio-frequency (RF) generator and CH4 flow rate. The meso-plasma system was actually a modified ICP-jet plasma in combination with a planar-coiled antenna. In such a configuration, they obtained fast deposition because of a high dissociation rate of CH4. The CNWs deposition was performed in CH4/H2/Ar mixture. A deposition rate was increasing with increasing RF power (12–18 kW) and increasing CH4 flow rate (10–80 sccm), when keeping H2 flow constant. The highest growth rate (18 µm/min) was observed, when the flow of H2 was zero, what was explained by a lower etching effect of hydrogen. An increase in the plasma power and CH4 flow did not only change the growth rate but it also had an effect on CNWs morphology and structure. Different morphological forms including petal-like, cauliflower-like, maze-like, or floc-like structures were observed.

3. A Brief Review of Patents

As already mentioned, there is a great commercial interest in application of carbon nanowalls in different devices. In order to make this review rather complete, the most relevant patents on deposition of carbon nanowalls are listed below and briefly described.
Probably the first patent application on growth of CNWs was filed in 2007 by Hiramatsu and Hori [42]. They disclosed a method and a device for producing thin films of CNWs on solid substrates. A source gas containing carbon was introduced into a reaction chamber where plasma was sustained with a capacitively coupled generator. The authors disclose also a second radical-generating chamber which was disposed outside the reaction chamber. Hydrogen radicals were generated by decomposing radical source gas containing hydrogen using RF or another method. The hydrogen radicals were introduced into the plasma, whereby CNWs were formed on a substrate disposed on the second electrode of the CCP. The growth of the CNWs with this method was found to be rather slow (about 1 µm high-quality CNWs in approximately 5 h). The key innovative step in this patent application was application of a remote source of atomic hydrogen, which was essential for the growth of high-quality CNWs. The drawback of the method is a very long treatment time. This drawback was suppressed in the patent [43] which discloses a method and a device for deposition of carbon nanostructures where the base materials forming carbon nanostructures can be continuously fed, thus mass-production could be facilitated. The method described in [43] is actually based on the method revealed in previous patent [42] by the same group. The patent [44] further improves the method described in [42], in particular to improve the crystallinity of CNWs. However, the improvement of crystallinity had a negative effect on the growth rate, since it was reported to decrease from about 60 to 20 nm/min.
A method for growing CNWs on a solid substrate is disclosed also in the patent by Ghoanneviss et al. [45]. In this patent, a method is described which comprises mixing a predetermined amount of a hydrocarbon gas with a predetermined amount of at least one non-hydrocarbon gas, placing the solid substrate into a reaction chamber; creating gaseous radicals in the reaction chamber which comprises hydrocarbon and non-hydrocarbon radicals; applying the radicals to the solid substrate; and growing CNWs on said solid substrate exposed to said radical. This invention comprises a method where CNWs are created under atmospheric pressure. The CNWs growth with this method usually takes tens of minutes. No fluxes nor fluences of said radicals are disclosed in this patent application.
CNWs were also formed as a product in a CO2 reduction device with the CO2 reduction method disclosed in a recent patent application by Ohmae et al. [46]. This CO2 reduction method produces CNWs by transforming CO2 gas into carbon using microwave (MW) plasma chemical vapor deposition and, essentially, using water vapor as a carrier gas. In the preferred embodiment of this patent, the method based on MW plasma chemical vapor deposition is used to reduce CO2 gas in carbon oxide-containing gas flowing through the inside of an U-shaped reaction tube made from glass. The water vapor is used just as a carrier gas of the carbon oxide-containing gas according to Ohmae. Unlike all previously cited documents the methods disclosed in this patent application do not rely on injection of hydrocarbons into gaseous plasma. The CO2 gas is dissociated upon plasma conditions and CNWs are produced on a solid substrate positioned inside the glass tube. The inventors claim a CO2 reduction system which has the U-shaped CO2 reduction device whose gas exhaust tube is connected with the gas introduction tube. The inventors also claim a CO2 reduction method which produces CNWs by conversion of CO2 gas into carbon source using MW plasma CVD method and water vapor as a carrier gas. In fact, the tube is mounted into a MW waveguide of such a shape that an extremely large electromagnetic field is obtained right at a bend of the tube, therefore the power density is extremely large. Unfortunately, the authors of this patent do not report the exact value of the power density, nor the substrate temperature, but both should be large in such a configuration. The scalability of the method is questionable, though. The decomposition rate of CO2 increases with increasing discharge power. The electric power generated by photovoltaic power generation is used for powering the MW plasma generator in one embodiment, thus making the device highly economical. The sediment (i.e., the CNWs film) as deposited by the methods of Ohmae also contains other morphological forms of carbon.
CNWs can be used for fuel cells, lithium ion batteries, diodes, and photovoltaic devices, etc. In another patent by Hori’s group [47] a method for manufacturing a catalyst layer for a fuel cell is disclosed. Here, CNWs are refined in order to enhance the power generation efficiency of a fuel cell by improving the contact of hydrogen molecules and oxygen molecules which take part in a reaction with a metal catalyst and an electrolyte in the fuel cell to sufficiently form a three-phase interface.
The method that simplifies the process for manufacturing an electrode layer for fuel cells and improves the dispensability of the catalyst component and the electrolyte, whereby the generation efficiency of a fuel cell can be improved, is also revealed in yet another patent by Hori et al. [48].
The CNWs could be also used as a material for the negative electrode in a lithium battery. Tachibana and Tanaike [49] disclose the negative electrode material for a lithium ion battery. This material is prepared using as minute graphite material, flaky CNWs constituted of aggregates in which crystallites having a 10 to 30 nanometer range are highly oriented. A thin lithium battery which uses the innovative material is also provided. There are four other patents on CNWs for negative electrodes for lithium batteries [49,50,51] and a patent disclosing application of CNWs for a positive electrode [52].
CNWs can be also used as a part of a sample substrate for laser desorption ionization mass spectrometry (LDI-MS) as described in a patent [53]. CNWs are known as excellent absorbents because of their morphology, structure, and composition. Therefore, their possible application can be for a saturable absorbing element with a wide absorption band, a high light absorbance, and a high modulation depth as disclosed in [54]. CNWs can be also used in medical applications, for example when they are deposited on a substrate of an implantable medical device [55]. They are also used as a raw material for producing other materials, such as graphene nanoribbons [56,57] or metal-supported nano-graphite [58].
The patents do not provide details about the particular setups or just disclose the preferred embodiments, so it is difficult to extract the deposition parameters.

4. Summary of Literature Review on PECVD Deposition of CNWs

In Table 1 comparison of conditions used for deposition of CNWs by PECVD is shown. According to data in Table 1, CNWs are usually synthesized by various PECVD methods. These can be microwave plasma enhanced chemical vapor deposition (MW PECVD), capacitively coupled radio-frequency plasma enhanced chemical vapor deposition (CCP PECVD), inductively coupled radio-frequency plasma enhanced chemical vapor deposition (ICP PECVD), direct current plasma enhanced chemical vapor deposition (DC PECVD), and electron cyclotron resonance plasma enhanced chemical vapor deposition (ECR PECVD). A combination of these methods is sometimes used as well as additional biasing of the substrates. Especially in the case of RF plasmas, CCP configuration is often combined with ICP or an external H radical injection [13,16]. Deposition was usually performed at low pressures, however, there were also reports on the deposition at atmospheric pressure giving much higher deposition rates [23,59]. Another way to synthesize graphene sheets was also the application of a discharge in a liquid where the carbon precursor can be either the electrode material or the liquid medium [60,61]. A solution containing graphene sheets was then filtered to collect graphene sheets. Li et al. synthesized graphene sheets by pulsed arc discharge in water with petroleum asphalt as a carbon source [61]. Typical synthesis time was 20 min. On the contrary, Lee et al. synthesized graphene flakes by plasma generated between two carbon electrodes which were immersed in distilled water [60]. Recently, Amano et al. synthesized graphene flakes in ethanol with added iron phthalocyanine [62]. The synthesis time was only 5 min.
As already mentioned in the introduction and also shown in Table 1, the growth rate and quality of CNWs can be controlled by increasing gas pressure or/and flow, discharge power, and substrate temperature. Especially, addition of H2 and Ar has an important influence on the quality of CNWs; therefore, the right proportion of gasses is needed for optimal CNWs deposition. Higher gas flow rates usually give higher growth rates, but also higher etching rates and loss of a desired morphology; therefore, flows and ratios should be optimized for particular applications of CNWs thin films.
As shown in Table 1, CNWs were successfully deposited to various substrates, electrically conductive and nonconductive. When first invented, deposition was performed with the help of the catalysts [36]. Nowadays, PECVD deposition is usually performed without any catalyst. As reported in the literature, deposition was successfully performed on materials such as Cu, GaAs, Si, SiO2, sapphire, Al2O3, Mo, Zr, Ti, Hf, Nb, W, Ta, stainless steel, MgO, TiN, Quartz glass, carbon paper, and even on non-flat surfaces such as carbon fibres and Ni foam. Yu et al. [63] managed to synthesize patterned CNWs. CNWs were grown on a gold pattern made of a network of squares and other geometrical structures that were coated on the SiO2 substrate before the deposition by plasma methods.
A rather high temperature is required for deposition of CNWs. Temperatures reported in the literature are usually in the range of 600–800 °C for PECVD methods. Sometimes also temperatures higher than 800 °C were reported (up to about 1000 °C). In some cases, authors managed to deposit CNWs also at temperatures lower than 600 °C (see Table 1), depending on the substrate material. Temperatures required for CNWs deposition on glass (~400–500 °C) were usually lower than for metals (~600–800 °C) [28]. Nevertheless, despite high temperatures that are still needed for PECVD methods, they still enable deposition at temperatures lower than conventional thermal CVD methods. It is interesting, however, that temperatures of approximately 500 °C were reported for hot-wire CVD deposition of CNWs on a stainless steel substrate or quartz glass with Ni catalyst [64,65].

5. Comparison of Available Literature

The prior state-of-the-art can be summarized as follows:
  • Either gaseous plasma or hot wires are used for production of reactive carbon-containing molecules that stick to the surface substrate and cause growing of CNWs on said substrate;
  • Reactive carbon containing molecules are usually produced from hydrogenated carbon precursors, sometimes fluorinated, or from carbon oxide
  • Precursors are essentially gaseous and are continuously leaked into a reaction chamber to facilitate growing of CNWs. The gases are continuously removed from the reaction chamber;
  • Hydrogen is leaked into the reaction chamber simultaneously with hydrogenated carbon precursors in order to obtain good quality nanowalls. Noble gases are often added into the gas mixture leaked into the reaction chamber to alter the quality of CNWs
  • Metallic catalysts were applied in early documents but have been omitted later;
  • Elevated temperatures of the substrates (usually in the range of 600–800 °C) are needed for CNWs growth.
Different authors used different experimental setups so any comparison of results might not be scientifically perfect. Still, it is interesting to draw at least some correlations. Of particular importance is the growth rate versus the parameters reported in literature cited in Table 1. Figure 4 reveals the growth rates (where reported) versus the substrate temperature (where reported), Figure 5 the growth rates for different gas mixtures, and Figure 6 the growth rates for different discharges. As mentioned above the results summarized in Figure 4, Figure 5 and Figure 6 are based on statistical evaluation of available literature from different authors as presented in Table 1.
Let us first examine Figure 4 which represents the growth rate of CNWs versus reported substrate temperature. The results are scattered widely, which is explained by different experimental conditions adopted by different authors. It seems that the surface temperature alone is not a decisive parameter regarding the growth rate of CNWs. Obviously, other parameters play a more significant role as long as the growth rate is the merit. There are a couple of dots in Figure 4 that stretch from others: i.e., the measured growth rate at about 60 µm/h. Both results were obtained using microwave discharge for plasma sustenance. The MW plasma adopted by Teii [30] and Mori [20] is known for the high power density, so this parameter may be more important than the substrate temperature. The power density, of course, influences the heat dissipated on the sample upon plasma treatment, and the heat in turn influences the substrate temperature. Any sample exposed to plasma is heated by bombardment with positive ions, neutralization of charged particles, recombination of radicals (in particular atoms), accommodation of any metastables, and absorption of light quanta. The prevailing mechanism depends on fluxes of reactive species and biasing. Unfortunately, only a few authors mention these parameters, so it is difficult to deduce the heating power. In any case, the fluxes usually increase with increasing power density of the discharge until the saturation is reached. For example, the atom density next to the sample surface (and thus the atom flux onto the sample) increases with the power density, but it also depends on the properties of any material facing plasma. Carbon nanowalls should represent an almost perfect sink for atoms because they are trapped in gaps between neighboring walls, therefore they experience numerous collisions with walls before being able to escape. At each collision there is a certain probability for recombination to parent molecules and because the collisions are numerous, only few atoms are able to avoid surface recombination on a material of such a rich morphology as CNWs. In fact, one of the highest recombination coefficients was recently reported by Zaplotnik et al. [69]. Unfortunately, none of the authors cited in this review reported the atom (usually hydrogen) flux on the sample surface.
The probability for surface neutralization of positive ions is close to 100% thus the heating by this mechanism could be deduced if plasma density is measured. The ions are accelerated when crossing the sheath next to the sample surface and the kinetic energy gained is often between 10 and 20 eV (depending on the plasma potential and the ion mass); therefore, this heating mechanism is easily evaluated if the plasma density and electron temperature are known. Again, only few authors reported these parameters. The heating by ions is of course enhanced if the sample is biased, but in such a case, the thermal contact between the sample and the electrode is usually good so biasing itself does not assure for a higher sample temperature.
To understand the influence of a discharge type on the CNWs growth rate we summarize the results reported by various authors in Figure 5. According to literature shown in Table 1 and description in this review paper, various discharges were adopted. Unfortunately, the discharge power density is almost impossible to deduce from a good number of papers. Still, the results summarized in Figure 5 are useful for giving a hint on the role of a discharge in growth of CNWs. The highest growth rate was observed when combining the CCP with ICP. Unfortunately, only one author reported such a large growth rate [41]. The ICP in the H-mode is known for its ability to absorb large RF powers in small volumes so it can be concluded that the large power density is beneficial for fast growing of the CNWs. Furthermore, the MW discharges also provide high growth rates. As mentioned above, these discharges are also capable of sustaining dense plasma in a rather small volume. The results summarized in Figure 5 therefore indicate that the large power density is highly beneficial for a rapid growth of CNWs.
Finally, it is worth discussing the results of Figure 6, in particular because several authors stressed the influence of a gas composition on the growth of CNWs. As in Figure 4 and Figure 5, the results are scattered over a couple of orders of magnitude. The high growth rate using a mixture of carbon monoxide and hydrogen can be explained by a very high power density in plasma sustained by MW discharge in a small volume, despite using a relatively low power of 60 W [20]. The results obtained using other gases or gas mixtures are scattered so much that it may be concluded the gas mixture is not the key parameter governing the growth rate of CNWs.

6. Challenges and Roadmap

The binding energy of carbon atoms in a hexagonal structure is much larger than between the graphene layers so it is natural that the synthesis of wall-like structures is dominated as long as the weakly bonded atoms are removed continuously upon growth of CNWs. The removal of such “wrongly deposited” atoms is assured by using plasma species that react chemically with weakly bonded carbon atoms and most authors agree that H atoms are particularly useful. Unfortunately, the supply of H atoms onto the surface upon the growth of CNWs seems to be too small to enable immediate removal of “wrongly deposited” carbon atoms and thus high-quality CNWs so elevated temperatures are needed, because chemical etching of carbon materials by atomic hydrogen increases with increasing sample temperature. The particular morphology of CNWs depends on deposition parameters and it has been suggested by numerous authors that bombardment of the sample with positively charged ions upon CNWs deposition is beneficial for the growth of vertically oriented (as opposite to randomly oriented) graphene sheets.
The challenges in deposition of CNWs are apparent from the text in this review paper. Although numerous authors discussed the influence of various plasma species on the growth kinetics, very few reported about the fluxes or fluences of plasma species onto the substrates. The greatest immediate challenge is therefore measuring plasma parameters. The key parameters are densities of radicals next to the substrate surface and corresponding fluxes onto the surface. While current techniques for plasma diagnostics allow for measuring densities of a variety of species they have rarely been applied. An important challenge is also determination of gradients of reactive species which appear next to or within the samples due to the loss of radicals on the surface.
A great challenge for any future application of CNWs is upscaling. Best plasma parameters are usually found in small experimental reactors of sample size measured in cm2. Upscaling plasma of the right parameters to large systems is always a scientific and technological challenge. To make CNWs useful on an industrial scale, upscaling to systems that enable deposition of CNWs on large surfaces, at least as large as wafers, is essential. Most currently reported deposition rates are prohibitively slow therefore other solutions should be considered. Preferred deposition of any thin films for industrial application is in a continuous mode: the substrate (preferably an infinite sheet) moves through a dense plasma sustained by a suitable discharge and the deposition rate is high enough to assure a rapid deposition at a reasonable speed of the substrate. Such a mode, however, has not been adopted even for “traditional” plasma industries such as microelectronics so it might take a long time to invent techniques for fast deposition of CNWs on continuous materials.

Author Contributions

Conceptualization, A.V. and M.M.; Methodology, G.P. and R.Z.; Formal Analysis, R.Z. and G.P.; Investigation, R.Z. and G.P.; Data Curation, A.V., R.Z. and G.P.; Writing—Original Draft Preparation, A.V.; Writing—Review and Editing, M.M., G.P. and R.Z.; Visualization, R.Z.; Supervision, A.V. and M.M.; Project Administration, A.V.; Funding Acquisition, A.V.

Funding

The authors acknowledge the financial support from the Slovenian Research Agency–project No. L2-1834 (Carbon nanowalls for future supercapacitors).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Kwon, S.H.; Kim, H.J.; Choi, W.S.; Kang, H. Development and performance analysis of carbon nanowall-based mass sensor. J. Nanosci. Nanotechnol. 2018, 18, 6552–6554. [Google Scholar] [CrossRef] [PubMed]
  2. Li, J.H.; Zhu, M.J.; An, Z.L.; Wang, Z.Q.; Toda, M.; Ono, T. Constructing in-chip micro-supercapacitors of 3D graphene nanowall/ruthenium oxides electrode through silicon-based microfabrication technique. J. Power Sources 2018, 401, 204–212. [Google Scholar] [CrossRef]
  3. Liu, L.L.; Guan, T.; Fang, L.; Wu, F.; Lu, Y.; Luo, H.J.; Song, X.F.; Zhou, M.; Hu, B.S.; Wei, D.P.; et al. Self-supported 3D NiCo-LDH/Gr composite nanosheets array electrode for high-performance supercapacitor. J. Alloy Compd. 2018, 763, 926–934. [Google Scholar] [CrossRef]
  4. Sarani, A.; Nikiforov, A.Y.; De Geyter, N.; Morent, R.; Leys, C. Surface modification of polypropylene with an atmospheric pressure plasma jet sustained in argon and an argon/water vapour mixture. Appl. Surf. Sci. 2011, 257, 8737–8741. [Google Scholar] [CrossRef]
  5. Shin, S.C.; Yoshimura, A.; Matsuo, T.; Mori, M.; Tanimura, M.; Ishihara, A.; Ota, K.; Tachibana, M. Carbon nanowalls as platinum support for fuel cells. J. Appl. Phys. 2011, 110, 104308. [Google Scholar] [CrossRef]
  6. Krivchenko, V.A.; Itkis, D.M.; Evlashin, S.A.; Semenenko, D.A.; Goodilin, E.A.; Rakhimov, A.T.; Stepanov, A.S.; Suetin, N.V.; Pilevsky, A.A.; Voronin, P.V. Carbon nanowalls decorated with silicon for lithium-ion batteries. Carbon 2012, 50, 1438–1442. [Google Scholar] [CrossRef]
  7. Takeuchi, W.; Kondo, H.; Obayashi, T.; Hiramatsu, M.; Hori, M. Electron field emission enhancement of carbon nanowalls by plasma surface nitridation. Appl. Phys. Lett. 2011, 98, 123107. [Google Scholar] [CrossRef]
  8. Wei, W.; Hu, Y.H. Highly conductive Na-embedded carbon nanowalls for hole-transport-material-free perovskite solar cells without metal electrodes. J. Mater. Chem. A 2017, 5, 24126–24130. [Google Scholar] [CrossRef]
  9. Hiramatsu, M.; Kondo, H.; Hori, M. Graphene Nanowalls. In New Progress on Graphene Research; Gong, J.R., Ed.; IntechOpen: Rijeka, Croatia, 2013. [Google Scholar] [Green Version]
  10. Liu, R.L.; Chi, Y.Q.; Fang, L.; Tang, Z.S.; Yi, X. Synthesis of carbon nanowall by plasma-enhanced chemical vapor deposition method. J. Nanosci. Nanotechnol. 2014, 14, 1647–1657. [Google Scholar] [CrossRef]
  11. Vizireanu, S.; Stoica, S.D.; Luculescu, C.; Nistor, L.C.; Mitu, B.; Dinescu, G. Plasma techniques for nanostructured carbon materials synthesis. A case study: Carbon nanowall growth by low pressure expanding RF plasma. Plasma Sources Sci. Technol. 2010, 19, 034016. [Google Scholar] [CrossRef]
  12. Achour, A.; Solaymani, S.; Vizireanu, S.; Baraket, A.; Vesel, A.; Zine, N.; Errachid, A.; Dinescu, G.; Pireaux, J.J. Effect of nitrogen configuration on carbon nanowall surface: Towards the improvement of electrochemical transduction properties and the stabilization of gold nanoparticles. Mater. Chem. Phys. 2019, 228, 110–117. [Google Scholar] [CrossRef]
  13. Hiramatsu, M.; Shiji, K.; Amano, H.; Hori, M. Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection. Appl. Phys. Lett. 2004, 84, 4708–4710. [Google Scholar] [CrossRef]
  14. Hiramatsu, M.; Hori, M. Carbon Nanowalls: Synthesis and Emerging Applications; Springer: Wien, Austria, 2010. [Google Scholar]
  15. Li, J.; Liu, Z.; Guo, Q.; Yang, S.; Xu, A.; Wang, Z.; Wang, G.; Wang, Y.; Chen, D.; Ding, G. Controllable growth of vertically oriented graphene for high sensitivity gas detection. J. Mater. Chem. C 2019, 7, 5995–6003. [Google Scholar] [CrossRef]
  16. Kondo, S.; Kawai, S.; Takeuchi, W.; Yamakawa, K.; Den, S.; Kano, H.; Hiramatsu, M.; Hori, M. Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition. J. Appl. Phys. 2009, 106, 094302. [Google Scholar] [CrossRef]
  17. Cui, L.; Chen, J.; Yang, B.; Sun, D.; Jiao, T. RF-PECVD synthesis of carbon nanowalls and their field emission properties. Appl. Surf. Sci. 2015, 357, 1–7. [Google Scholar] [CrossRef]
  18. Jiang, L.; Yang, T.; Liu, F.; Dong, J.; Yao, Z.; Shen, C.; Deng, S.; Xu, N.; Liu, Y.; Gao, H.-J. Controlled Synthesis of Large-Scale, Uniform, Vertically Standing Graphene for High-Performance Field Emitters. Adv. Mater. 2013, 25, 250–255. [Google Scholar] [CrossRef] [PubMed]
  19. Wang, J.; Zhu, M.; Outlaw, R.A.; Zhao, X.; Manos, D.M.; Holloway, B.C. Synthesis of carbon nanosheets by inductively coupled radio-frequency plasma enhanced chemical vapor deposition. Carbon 2004, 42, 2867–2872. [Google Scholar] [CrossRef]
  20. Mori, S.; Ueno, T.; Suzuki, M. Synthesis of carbon nanowalls by plasma-enhanced chemical vapor deposition in a CO/H2 microwave discharge system. Diam. Relat. Mater. 2011, 20, 1129–1132. [Google Scholar] [CrossRef]
  21. Mori, S.; Suzuki, M. Non-catalytic low-temperature synthesis of carbon nanofibers by plasma-enhanced chemical vapor deposition in a CO/Ar/O2 DC discharge system. Appl. Phys. Express 2009, 2, 015003. [Google Scholar] [CrossRef]
  22. Lehmann, K.; Yurchenko, O.; Urban, G. Effect of the aromatic precursor flow rate on the morphology and properties of carbon nanostructures in plasma enhanced chemical vapor deposition. RSC Adv. 2016, 6, 32779–32788. [Google Scholar] [CrossRef] [Green Version]
  23. Meško, M.; Vretenár, V.; Kotrusz, P.; Hulman, M.; Šoltýs, J.; Skákalová, V. Carbon nanowalls synthesis by means of atmospheric dcPECVD method. Phys. Status Solidi B 2012, 249, 2625–2628. [Google Scholar] [CrossRef]
  24. Yu, K.H.; Bo, Z.; Lu, G.H.; Mao, S.; Cui, S.M.; Zhu, Y.W.; Chen, X.Q.; Ruoff, R.S.; Chen, J.H. Growth of carbon nanowalls at atmospheric pressure for one-step gas sensor fabrication. Nanoscale Res. Lett. 2011, 6, 202. [Google Scholar] [CrossRef] [PubMed]
  25. Giese, A.; Schipporeit, S.; Buck, V.; Wohrl, N. Synthesis of carbon nanowalls from a single-source metal-organic precursor. Beilstein J. Nanotechnol. 2018, 9, 1895–1905. [Google Scholar] [CrossRef] [PubMed]
  26. Ghosh, S.; Polaki, S.R.; Kumar, N.; Amirthapandian, S.; Kamruddin, M.; Ostrikov, K.K. Process-specific mechanisms of vertically oriented graphene growth in plasmas. Beilstein J. Nanotechnol. 2017, 8, 1658–1670. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  27. Yang, Q.; Wu, J.; Li, S.; Zhang, L.; Fu, J.; Huang, F.; Cheng, Q. Vertically-oriented graphene nanowalls: Growth and application in Li-ion batteries. Diam. Relat. Mater. 2019, 91, 54–63. [Google Scholar] [CrossRef]
  28. Zhang, N.; Li, J.; Liu, Z.; Yang, S.; Xu, A.; Chen, D.; Guo, Q.; Wang, G. Direct synthesis of vertical graphene nanowalls on glass substrate for thermal management. Mater. Res. Express 2018, 5, 065606. [Google Scholar] [CrossRef]
  29. Gentoiu, M.A.; Betancourt-Riera, R.; Vizireanu, S.; Burducea, I.; Marascu, V.; Stoica, S.D.; Bita, B.I.; Dinescu, G.; Riera, R. Morphology, microstructure, and hydrogen content of carbon nanostructures obtained by PECVD at various temperatures. J. Nanomater. 2017, 2017, 1374973. [Google Scholar]
  30. Teii, K.; Shimada, S.; Nakashima, M.; Chuang, A.T.H. Synthesis and electrical characterization of n-type carbon nanowalls. J. Appl. Phys. 2009, 106, 084303. [Google Scholar] [CrossRef]
  31. Park, H.J.; Ahn, B.W.; Kim, T.Y.; Lee, J.W.; Jung, Y.H.; Choi, Y.S.; Song, Y.I.; Suh, S.J. Direct synthesis of multi-layer graphene film on various substrates by microwave plasma at low temperature. Thin Solid Films 2015, 587, 8–13. [Google Scholar] [CrossRef]
  32. Singh, M.; Jha, H.S.; Agarwal, P. Synthesis of vertically aligned carbon nanoflakes by hot-wire chemical vapor deposition: Influence of process pressure and different substrates. Thin Solid Films 2019, 678, 26–31. [Google Scholar] [CrossRef]
  33. Kalita, G.; Wakita, K.; Umeno, M. Low temperature growth of graphene film by microwave assisted surface wave plasma CVD for transparent electrode application. RSC Adv. 2012, 2, 2815–2820. [Google Scholar] [CrossRef]
  34. Hofmann, S.; Kleinsorge, B.; Ducati, C.; Ferrari, A.C.; Robertson, J. Low-temperature plasma enhanced chemical vapour deposition of carbon nanotubes. Diam. Relat. Mater. 2004, 13, 1171–1176. [Google Scholar] [CrossRef]
  35. Vizireanu, S.; Mitu, B.; Luculescu, C.R.; Nistor, L.C.; Dinescu, G. PECVD synthesis of 2D nanostructured carbon material. Surf. Coat. Technol. 2012, 211, 2–8. [Google Scholar] [CrossRef]
  36. Wu, Y.H.; Qiao, P.W.; Chong, T.C.; Shen, Z.X. Carbon nanowalls grown by microwave plasma enhanced chemical vapor deposition. Adv. Mater. 2002, 14, 64–67. [Google Scholar] [CrossRef]
  37. Shiji, K.; Hiramatsu, M.; Enomoto, A.; Nakamura, N.; Amano, H.; Hori, M. Vertical growth of carbon nanowalls using rf plasma-enhanced chemical vapor deposition. Diam. Relat. Mater. 2005, 14, 831–834. [Google Scholar] [CrossRef]
  38. Tanaka, K.; Yoshimura, M.; Okamoto, A.; Ueda, K. Growth of carbon nanowalls on a SiO2 substrate by microwave plasma-enhanced chemical vapor deposition. Jpn. J. Appl. Phys. 2005, 44, 2074–2076. [Google Scholar] [CrossRef]
  39. Dikonimos, T.; Giorgi, L.; Giorgi, R.; Lisi, N.; Salernitano, E.; Rossi, R. DC plasma enhanced growth of oriented carbon nanowall films by HFCVD. Diam. Relat. Mater. 2007, 16, 1240–1243. [Google Scholar] [CrossRef]
  40. Davami, K.; Shaygan, M.; Kheirabi, N.; Zhao, J.; Kovalenko, D.A.; Rümmeli, M.H.; Opitz, J.; Cuniberti, G.; Lee, J.-S.; Meyyappan, M. Synthesis and characterization of carbon nanowalls on different substrates by radio frequency plasma enhanced chemical vapor deposition. Carbon 2014, 72, 372–380. [Google Scholar] [CrossRef]
  41. Zhang, H.; Wu, S.; Lu, Z.; Chen, X.; Chen, Q.; Gao, P.; Yu, T.; Peng, Z.; Ye, J. Efficient and controllable growth of vertically oriented graphene nanosheets by mesoplasma chemical vapor deposition. Carbon 2019, 147, 341–347. [Google Scholar] [CrossRef]
  42. Hiramatsu, M.; Hori, M. Method for Producing Carbon Nanowalls, Carbon Nanowall, and Apparatus For Producing Carbon Nanowalls. Patent No. US20070184190A1, 8 August 2007. [Google Scholar]
  43. Hori, M.; Koaizawa, H.; Shibayama, S.; Toda, S. Production Method and Production Device for Carbon Nanostructure. Patent No. JP2008063196A, 21 March 2008. [Google Scholar]
  44. Hori, M.; Hiramatsu, M.; Kano, H. Method for Producing Carbon Nanowalls. Patent No. US2011045207A1, 24 February 2011. [Google Scholar]
  45. Ghoanneviss, M.; Eslami, A.P.; Laheghi, S.N. Method for Growing Carbon Nanowalls. Patent No. US2009274610A1, 5 November 2009. [Google Scholar]
  46. Ohmae, N.; Toyoshima, A. CO2 Reduction Device and CO2 Reduction Method. Patent No. WO2016024301A1, 16 February 2016. [Google Scholar]
  47. Hori, M.; Kano, H.; Hama, Y. Method for Refining Carbon Nanowall (CNW), Refined Carbon Nanowall, Method for Manufacturing Catalyst Layer for Fuel Cell, Catalyst Layer for Fuel Cell, and Polymer Electrolyte Fuel Cell. Patent No. JP2008239369A, 9 October 2008. [Google Scholar]
  48. Hori, M.; Hiramatsu, M.; Kano, H.; Yoshida, S.; Katayama, Y.; Sugiyama, T. Method and Apparatus for Producing Catalyst Layer for Fuel Cell. Patent No. US2008274392A1, 6 November 2008. [Google Scholar]
  49. Tachibana, M.; Tanaike, O. Negative Electrode Material for Lithium Ion Battery and Rapid Charging/Discharging Lithium Ion Battery Using the Same. Patent No. JP2010009980A, 14 January 2010. [Google Scholar]
  50. Yoshida, S.; Hama, Y.; Hori, M.; Hiramatsu, M.; Kano, H. Negative Electrode for Lithium Secondary Battery, Method for Preparing the Negative Electrode, Lithium Secondary Battery having the Negative Electrode, and Vehicle having the Lithium Secondary Battery. Patent No. CN102668180A, 14 November 2012. [Google Scholar]
  51. Izuhara, K.; Daifuku, M.; Miyata, Y. Lithium Secondary Battery Negative Electrode and Method For Manufacturing the Same. Patent No. US2014170490A1, 19 June 2014. [Google Scholar]
  52. Yoshida, S.; Hama, Y.; Hori, M.; Hiramatsu, M.; Kano, H. Positive Electrode for Lithium Secondary Battery, Method for Preparing the Positive Electrode, Lithium Secondary Battery having the Positive Electrode, and Vehicle having the Lithium Secondary Battery. Patent No. CN102668181A, 12 September 2012. [Google Scholar]
  53. Hori, M.; Sato, H.; Toyoshima, Y.; Hiramatsu, M. Sample Substrate for Laser Desorption Ionization-Mass Spectrometry, and Method and Device Both Using the Same for Laser Desorption Ionization-Mass Spectrometry. Patent No. US2012175515A1, 12 July 2012. [Google Scholar]
  54. Omi, S.; Kawaguchi, N.; Kondo, M.; Harasaki, O. Saturable Absorbing Element, Saturable Absorbing Element Producing Method, and Laser Apparatus. Patent No. JP2015118348A, 25 June 2015. [Google Scholar]
  55. Junkar, I.; Modic, M.; Vesel, A.; Mozetic, M.; Dinescu, G.; Vizireanu, S.I.; Kleinschek, K.S. Method of Growing Carbon Nanowalls on a Substrate. Patent No. WO2016059024A1, 21 April 2016. [Google Scholar]
  56. Zhou, M.; Yuan, X.; Wang, Y.; Wu, F. Carbon Nanowall and Graphene Nanoribbon Preparation Method. Patent No. CN103935975A, 23 July 2014. [Google Scholar]
  57. Zhou, M.; Yuan, X.; Wang, Y.; Wu, F. Graphene Nanoribbon Preparation Method. Patent No. CN103935982A, 15 December 2014. [Google Scholar]
  58. Yoshimura, A.; Matsuo, T.; Tachibana, M.; Shin, S.C. Fabrication Method for Metal-Supported Nano-Graphite. Patent No. US2014127411A1, 8 May 2014. [Google Scholar]
  59. Bo, Z.; Yu, K.; Lu, G.; Wang, P.; Mao, S.; Chen, J. Understanding growth of carbon nanowalls at atmospheric pressure using normal glow discharge plasma-enhanced chemical vapor deposition. Carbon 2011, 49, 1849–1858. [Google Scholar] [CrossRef]
  60. Lee, H.; Bratescu, M.A.; Ueno, T.; Saito, N. Solution plasma exfoliation of graphene flakes from graphite electrodes. RSC Adv. 2014, 4, 51758–51765. [Google Scholar] [CrossRef]
  61. Li, Y.; Chen, Q.; Xu, K.; Kaneko, T.; Hatakeyama, R. Synthesis of graphene nanosheets from petroleum asphalt by pulsed arc discharge in water. Chem. Eng. J. 2013, 215–216, 45–49. [Google Scholar] [CrossRef]
  62. Amano, T.; Kondo, H.; Ishikawa, K.; Tsutsumi, T.; Takeda, K.; Hiramatsu, M.; Sekine, M.; Hori, M. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol. Appl. Phys. Express 2017, 11, 015102. [Google Scholar] [CrossRef]
  63. Yu, K.H.; Wang, P.X.; Lu, G.H.; Chen, K.H.; Bo, Z.; Chen, J.H. Patterning vertically oriented graphene sheets for nanodevice applications. J. Phys. Chem. Lett. 2011, 2, 537–542. [Google Scholar] [CrossRef]
  64. Itoh, T.; Shimabukuro, S.; Kawamura, S.; Nonomura, S. Preparation and electron field emission of carbon nanowall by Cat-CVD. Thin Solid Films 2006, 501, 314–317. [Google Scholar] [CrossRef]
  65. Shimabukuro, S.; Hatakeyama, Y.; Takeuchi, M.; Itoh, T.; Nonomura, S. Effect of hydrogen dilution in preparation of carbon nanowall by hot-wire CVD. Thin Solid Films 2008, 516, 710–713. [Google Scholar] [CrossRef]
  66. Krivchenko, V.; Shevnin, P.; Pilevsky, A.; Egorov, A.; Suetin, N.; Sen, V.; Evlashin, S.; Rakhimov, A. Influence of the growth temperature on structural and electron field emission properties of carbon nanowall/nanotube films synthesized by catalyst-free PECVD. J. Mater. Chem. 2012, 22, 16458–16464. [Google Scholar] [CrossRef]
  67. Krivchenko, V.A.; Dvorkin, V.V.; Dzbanovsky, N.N.; Timofeyev, M.A.; Stepanov, A.S.; Rakhimov, A.T.; Suetin, N.V.; Vilkov, O.Y.; Yashina, L.V. Evolution of carbon film structure during its catalyst-free growth in the plasma of direct current glow discharge. Carbon 2012, 50, 1477–1487. [Google Scholar] [CrossRef]
  68. Zhou, H.T.; Liu, D.B.; Luo, F.; Luo, B.W.; Tian, Y.; Chen, D.S.; Shen, C.M. Preparation of graphene nanowalls on nickel foam as supercapacitor electrodes. Micro Nano Lett. 2018, 13, 842–844. [Google Scholar] [CrossRef]
  69. Mozetic, M.; Vesel, A.; Stoica, S.D.; Vizireanu, S.; Dinescu, G.; Zaplotnik, R. Oxygen atom loss coefficient of carbon nanowalls. Appl. Surf. Sci. 2015, 333, 207–213. [Google Scholar] [CrossRef]
Figure 1. Number of publications per year regarding carbon nanotube (CNT) synthesis (a) and carbon nanowall (CNW) synthesis (b). Source: Web of Science.
Figure 1. Number of publications per year regarding carbon nanotube (CNT) synthesis (a) and carbon nanowall (CNW) synthesis (b). Source: Web of Science.
Materials 12 02968 g001
Figure 2. An example of carbon nanowalls grown on the surface of a titanium foil.
Figure 2. An example of carbon nanowalls grown on the surface of a titanium foil.
Materials 12 02968 g002
Figure 3. Schematic presentation of CNWs growth mechanism as suggested by Hiramatsu [9].
Figure 3. Schematic presentation of CNWs growth mechanism as suggested by Hiramatsu [9].
Materials 12 02968 g003
Figure 4. The growth rate versus the temperature as reported in literature shown in Table 1. The dots represent results in the cases when the authors performed experiments at a constant temperature. Some authors reported a range of temperatures during deposition—these results are represented with longitudinal bars.
Figure 4. The growth rate versus the temperature as reported in literature shown in Table 1. The dots represent results in the cases when the authors performed experiments at a constant temperature. Some authors reported a range of temperatures during deposition—these results are represented with longitudinal bars.
Materials 12 02968 g004
Figure 5. The growth rate for different types of discharges as reported in literature shown in Table 1. The height of the bars indicates the range of growth rates found in the literature.
Figure 5. The growth rate for different types of discharges as reported in literature shown in Table 1. The height of the bars indicates the range of growth rates found in the literature.
Materials 12 02968 g005
Figure 6. The growth rate for different gases as reported in literature shown in Table 1. The height of the bars indicates the range of growth rates found in the literature.
Figure 6. The growth rate for different gases as reported in literature shown in Table 1. The height of the bars indicates the range of growth rates found in the literature.
Materials 12 02968 g006
Table 1. Methods and conditions for CNWs deposition.
Table 1. Methods and conditions for CNWs deposition.
Ref.GasTemperature (°C)Growth Rate or TimeMethodSubstrate MaterialImportant Findings
[36] CH4/H2650–700-MW PECVD with catalyst and DC biasCu, GaAs, Si, SiO2, sapphire-
[19]CH4/H2600–900~several m/hICP PECVDSi, SiO2, Al2O3, Mo, Zr, Ti, Hf, Nb, W, Ta, Cu, stainless steel 304The growth rate was increasing with increasing temperature and CH4 concentration. CNWs on all substrates showed the same general morphology.
[37]C2F6, CH4, CF4, CHF3, or C4F8 with H2500~180 nm/hCCP PECVD
+ ICP for H radical injection
SiThe growth rate depended on the type of gas and it was the highest for C2F6/H2 and the lowest for CF4/H2:
C2F6/H2 > CHF3 > CH4 > CF4/H2.
CNWs did not grow in C4F8/H2 gas.
[38]CH4/H2-~8 m/hMW PECVD with DC biasSiO2The height of CNWs as a function of time obeyed the square root law.
[39]CH4/He1000~7 nm/minDC PECVD SiThe average size and film thickness were increasing with increasing total plasma current.
[30]Ar/N2/CH4
Ar/N2/C2H2
min. 6501 µm/minASTex MW PECVDSi or silicaAddition of Ar gas reduced the deposition temperature and increased the production of C2 dimers.
[20]CO/H27001 µm/minASTex MW PECVDSiHigh growth rate was obtained at a relatively low MW power of 60 W.
[31] CH4/H2~400up to
180 s
ECR-MW PECVDSiO2, glass, CuDeposition temperature depended on the substrate material.
[26]CH4/Ar625–800~10 nm/minECR PECVDSiO2/SiThe growth rate and quality of CNWs could be enhanced by increasing the substrate temperature, decreasing the distance between the MW source and the substrate, and increasing the MW power.
Below 625 °C CNWs did not grow.
[16]C2F6/H2 w/o O2580~25 nm/minRadical injection CCP PECVDSiO2 gas addition reduced the amorphicity and disorder of CNWs and assisted in nucleation of CNWs.
[40]CH4/H26801 µm/20 minRF PECVDCu, Si, and Si with a film of Ni or AuMorphology of CNWs depended on the type of a substrate
[18]CH4/H2-1.5
m/2 min
MW PECVDCuThe size of graphene sheets depended on a flow rate. A maximum was observed at 10–30 sccm.
[35]Ar/H2/C2H27001 µm/30 minRF plasma beam PECVDSiO2/Si, Ti, stainless steel, Quartz, MgO, carbon paper (all substrates covered with clustered Ni catalyst)Type of the substrate material was not critical for CNWs growth.
Quality of CNWs depended on pressure and Ar flow rate. Low pressure and high carrier flow rate was found to be optimal.
[41]Ar/H2/CH4-~10 µm/minMesoplasma
(CCP+ICP) PECVD
SiGrowth rate was increasing with increasing RF power (12–18 kW) and increasing CH4 flow rate (10–80 sccm). Various CNWs morphologies were observed.
[27]Ar/CH4750–900up to 10 minCCP PECVDCuThe density of CNWs increased with substrate temperature, plasma power, and deposition time.
[28]Ar/H2/CH4475–550~10 nm/minICP PECVDglassThe size and density of CNWs increased with increasing temperature.
[17]Ar/H2/C2H2550, 650, 750-RF PECVDSi, Ni/Si, Al2O3, carbon fiberCNWs did not grow at 550 °C. Morphology of CNWs depended on temperature, pressure, and gas flow.
[59]Ar/CH4700~300 nm/min in lateral sizeAtmospheric DC PECVDPolished stainless steelGrowth rate is much higher compared to low-pressure synthesis.
[23]Ar/H2/ethanol or hexane vapor800100 nm/minAtmospheric DC PECVDNiGrowth rate is much higher compared to low-pressure synthesis.
[24]Ar/H2/ethanol vapor700>15 minAtmospheric DC PECVDSi, Cu, stainless steel-
[63]Ar/CH4 or Ar/C2H2-Several minLow-pressure PECVDSiO2/Si with Au pattern CNWs were grown on a substrate with a designed pattern.
[66,67]H2/CH4~1000~50–55 nm/minDC PECVDGlassy carbon, SiSubstrate temperature depended on the film thickness. An increase in temperature of the substrate surface resulted in an increase in the nanowall average linear size.
[22]p-xylene 45020 minICP PECVDSi coated with TiNThree types of carbon nanostructured were formed depending on the flow rate: fibers, free standing nanowalls, or interconnected nanowalls.
[29]Ar/H2/C2H2200–70060 minRF plasma beam PECVDSiStrong dependence of morphology on temperature: CNTs were observed at 200 °C, amorphous carbon nanoparticles in the range of 300–400 °C and CNWs at 500–700 °C.
[25]aluminum acetyl-acetonate + Ar350, 425, 50050 minICP PECVDStainless steel, Ni, Al, SiStrong influence of the bias voltage, substrate temperature,
and substrate material on the morphology of CNWs. Nanorods or thorny, straight, or curled CNWs were found.
[68]H2/CH460040 minRF PECVDNi foam, copper, glass-
[2]Ar/H2/CH4520–55012 nm/minICP PECVDSiO2Quality of CNWs increased with plasma power and temperature.

Share and Cite

MDPI and ACS Style

Vesel, A.; Zaplotnik, R.; Primc, G.; Mozetič, M. Synthesis of Vertically Oriented Graphene Sheets or Carbon Nanowalls—Review and Challenges. Materials 2019, 12, 2968. https://doi.org/10.3390/ma12182968

AMA Style

Vesel A, Zaplotnik R, Primc G, Mozetič M. Synthesis of Vertically Oriented Graphene Sheets or Carbon Nanowalls—Review and Challenges. Materials. 2019; 12(18):2968. https://doi.org/10.3390/ma12182968

Chicago/Turabian Style

Vesel, Alenka, Rok Zaplotnik, Gregor Primc, and Miran Mozetič. 2019. "Synthesis of Vertically Oriented Graphene Sheets or Carbon Nanowalls—Review and Challenges" Materials 12, no. 18: 2968. https://doi.org/10.3390/ma12182968

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop