Next Article in Journal
Rapid Detection of Amitriptyline in Dried Blood and Dried Saliva Samples with Surface-Enhanced Raman Spectroscopy
Previous Article in Journal
Livestock Identification Using Deep Learning for Traceability
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Reduction of White Spot Defects in CMOS Image Sensors Fabricated Using Epitaxial Silicon Wafer with Proximity Gettering Sinks by CH2P Molecular Ion Implantation

1
SUMCO Corporation, 1-52 Kubara, Yamashiro-cho, Imari-shi, Saga 849-4256, Japan
2
Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-kibanadai-Nishi, Miyazaki 889-2192, Japan
*
Author to whom correspondence should be addressed.
Sensors 2022, 22(21), 8258; https://doi.org/10.3390/s22218258
Submission received: 30 August 2022 / Revised: 14 October 2022 / Accepted: 25 October 2022 / Published: 28 October 2022
(This article belongs to the Section Sensing and Imaging)

Abstract

:
Using a new implantation technique with multielement molecular ions consisting of carbon, hydrogen, and phosphorus, namely, CH2P molecular ions, we developed an epitaxial silicon wafer with proximity gettering sinks under the epitaxial silicon layer to improve the gettering capability for metallic impurities. A complementary metal-oxide-semiconductor (CMOS) image sensor fabricated with this novel epitaxial silicon wafer has a markedly reduced number of white spot defects, as determined by dark current spectroscopy (DCS). In addition, the amount of nickel impurities gettered in the CH2P-molecular-ion-implanted region of this CMOS image sensor is higher than that gettered in the C3H5-molecular-ion-implanted region; and this implanted region is formed by high-density black pointed defects and deactivated phosphorus after epitaxial growth. From the obtained results, the CH2P-molecular-ion-implanted region has two types of complexes acting as gettering sinks. One includes carbon-related complexes such as aggregated C–I, and the other includes phosphorus-related complexes such as P4–V. These complexes have a high binding energy to metallic impurities. Therefore, CH2P-molecular-ion-implanted epitaxial silicon wafers have a high gettering capability for metallic impurities and contribute to improving the device performance of CMOS image sensors. (This manuscript is an extension from a paper presented at the 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM 2022)).

1. Introduction

Complementary metal-oxide-semiconductor (CMOS) image sensors have been widely used not only for imaging using digital still cameras, smartphones, and others, but also for sensing in, for example, automobiles and security systems with the progress of the internet-of-things (IOT) society. Among them, three-dimensionally stacked back-side-illuminated CMOS image sensors (3D-CISs) products have been actively developed to achieve the desired characteristics, such as high resolution, high sensitivity, and high-speed imaging data processing [1]. However, 3D-CISs have serious technological issues that degrade device characteristics, such as dark currents and white spot defects associated with the device fabrication process [2].
The first important technological issue is metallic impurity contamination in the device active region during 3D-CIS fabrication processes, such as nickel silicide formation, electrode material deposition, and interconnection formation. Metallic impurity contamination tends to be enhanced because pixel dies are stacked on the signal processing circuit die using 3D-CIS integration technologies, such as Cu-through-silicon vias (Cu-TSVs) and Cu–Cu hybrid bonding [3,4,5]. Metallic impurities introduced into the depletion layer of a photodiode localize and form deep-energy-level defects in the silicon band gap. As a result, the number of white spot defects increases owing to the dark currents generated through the deep-energy-level defects existing in the depletion layer [6,7].
The second important technological issue is the out-diffusion of oxygen impurities from a Czochralski (CZ) silicon substrate to the depletion layer of a photodiode in pixels [8]. The oxygen impurities form deep-energy-level defects that act as generation–recombination (G–R) centers in the depletion layer. Thus, it is important that the region forming the photodiode has a low oxygen concentration.
The third important technological issue is the interface state densities (Dit) at the SiO2/Si interface formed in the deep trench isolation (DTI) region or at the bonding interface of 3D-CIS [9]. The interface area in the DTI region increases with the number of pixels, and a bonding interface is also generated between the pixel die and signal processing circuit die of the 3D-CIS structure. The origin of Dit is the Si dangling bonds existing at the SiO2/Si interface (Pb centers [10,11]), which act as G–R centers owing to irregular trap and release carriers. Thus, dark currents are generated through the SiO2/Si interface [2].
Generally, low-temperature hydrogen forming gas annealing (FGA) at the back end of the line (BEOL) process is one of the methods of passivating Pb centers and reducing the Dit [10,12,13]. However, in the case of the 3D-CIS fabrication process, multi-dielectric films are often used in metallic wire deposition in the pixel region. Hydrogen cannot easily diffuse to the SiO2/Si interface owing to the multi-dielectric films acting as a barrier during FGA [2,12,13,14]. Therefore, a functional silicon wafer that can overcome these important technological issues is required.
To realize this, we have developed an epitaxial silicon wafer with functional proximity gettering sinks introduced under the epitaxial silicon layer using the hydrocarbon (C3H5) molecular ion implantation technique [15,16,17,18,19,20]. In our previous research, we found that a C3H5-molecular-ion-implanted region has three characteristics that can resolve these technological issues, as shown in Figure 1. First, this ion-implanted region has the gettering capability for metallic impurities. Second, this ion-implanted region also acts as a diffusion barrier to the device active region from the silicon substrate because of the trapping of oxygen impurities during the CMOS image sensor fabrication process. Third, there is a passivation effect on Dit utilizing the hydrogen trapped in the C3H5-ion-implanted region after epitaxial growth and to diffuse during the CMOS image sensor fabrication process [21,22,23,24].
Kurita and coworkers demonstrated that the three characteristics of C3H5-molecular-ion-implanted epitaxial silicon improved electrical performance, such as the reduction in the number of white spot defects and dark currents in CMOS image sensors as determined by dark current spectroscopy (DCS) [25,26]. DCS is a powerful metallic impurity contamination analysis method, which enables us to count generated dark currents in pixels in charge-coupled devices (CCDs) and CMOS image sensors [7,27,28,29].
Furthermore, we developed a new implantation technique with multielement molecular ions consisting of carbon, hydrogen, and phosphorus, namely, CH2P molecular ions, with the aim of improving the gettering capability for metallic impurities among the three characteristics to further reduce the number of white spot defects in CMOS image sensors. As a gettering technique using phosphorus, high-density misfit dislocations and the P–V complex called E-centers are formed in the high-phosphorus-concentration region using thermally diffused phosphorus and phosphorus monomer ion implantation from the back surface of the silicon wafer; and they act as gettering sinks for metallic impurities [30,31,32,33]. In this study, we characterized the gettering capability of the CH2P-molecular-ion-implanted epitaxial silicon wafer by comparing the number of white spot defects obtained by the DCS of the CMOS image sensor with a C3H5-molecular-ion-implanted epitaxial silicon wafer.

2. Materials and Methods

Figure 2 shows the cross-sectional structures of the epitaxial silicon wafers used in this study. The samples were n-type CZ-silicon wafers doped with carbon. The phosphorus concentration was 6.7 × 1014/cm3, the carbon concentration was 4.7 × 1016/cm3, and the initial oxygen concentration was 14.5 × 1017/cm3 (old ASTM). The silicon wafer surface was implanted with CH2P molecular ions using CLARIS (Nissin Ion Equipment). The implantation conditions were an energy of 80 keV/molecule and a dose of 2.0 × 1014 ions/cm2 (carbon, hydrogen, and phosphorus doses were 2.0 × 1014 atoms/cm2, 4.0 × 1014 atoms/cm2, and 2.0 × 1014 atoms/cm2, respectively). The tilt and twist angles were both set to 0°. For the comparison of the number of white spot defects, C3H5-molecular-ion-implanted silicon wafers were prepared using the same energy (80 keV/molecule) and carbon dose (2.0 × 1014 atoms/cm2) as those for CH2P molecular ion implantation. After molecular ion implantation, the thickness of the epitaxial silicon layers deposited on the silicon surface by chemical vapor deposition was 5.0 µm. Subsequently, we fabricated a CMOS image sensor with four transistors in a pixel with a pinned photo diode using the CMOS device fabrication process.
We measured the number of white spot defects in each image sensor implanted with CH2P and C3H5 molecular ions by DCS. After that, these sensors and epitaxial silicon wafers were evaluated by the methods described below to confirm the difference in the number of white spot defects between the molecular-ion-implanted samples. The size and density of bulk microdefects (BMDs) were measured using a BMD analyzer (MO-441®, Optima Incorporated, Kanagawa, Japan). The concentration profiles of carbon, oxygen, phosphorus, and nickel in the depth direction were analyzed by secondary ion mass spectrometry (SIMS). In the case of analyzing the sensors, the surfaces of sensors were mechanically polished to a depth of about 0.5 µm before SIMS analysis. The defect distribution in each molecular ion implantation projection range was observed by transmission electron microscopy (TEM) (H-9000UHR-I, Hitachi, Tokyo, Japan). The amounts of molecular ion implantation defects were evaluated by room-temperature photoluminescence (RTPL) analysis (MPL300, WaferMasters, Dublin, CA, USA). The morphology and the distribution of carbon and phosphorus in the CH2P-molecular-ion-implanted region at the atomic level were analyzed by laser-assisted atom probe tomography (L-ATP) (LEAP 4000XSi, AMETEK, Berwyn, PA, USA). The L-ATP map and distribution of each element were analyzed using integrated visualization and analysis software (IVAS) from CAMECA (Gennevilliers, France). Finally, the carrier concentration distribution in the depth direction of CH2P-molecular-ion-implanted epitaxial silicon wafers were measured by spreading resistance analysis (SRA) (SSM SPR 2000, Semilab, Budapest, Hungary).

3. Results

3.1. Gettering Capability of CH2P-Molecular-Ion-Implanted Epitaxial Silicon Wafer

Figure 3 shows the DCS spectra of the sensors fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers measured at 60 °C. Our previous study already reported the dark current amount of CMOS image sensor dependence on, before, and after molecular ion implantation, such as C3H5 and CH3O molecular ions using DCS [26,34]. As a result, the molecular ion implantation technique can drastically decrease the dark current amount during the CMOS image sensor fabrication process. These previous study results indicate that the molecular-ion-implanted epitaxial silicon wafer has a higher metallic impurity gettering capability compared with the conventional epitaxial silicon wafer.
Furthermore, it is well known that there are three components of dark current at the photo–diode junction in CMOS image sensor pixels. Dark current (Idark) is forming the generation current (Igeneration), the surface generation current (Isurface), and the diffusion current (Idiffusion) (where Idark = dark current + white spot defects = Igeneration + Isurface + Idiffusion). The first two components are related to the process-induced defects, such as metallic impurity, deep-level defect concentration, SiO2/Si interface state defect concentration in the photo–diode space charge region, the transfer gate transistor in CMOS image sensor pixels, and last component is related to the energy band gap of intrinsic semiconductor silicon material using CMOS image sensor fabrication.
In the case of the 60 °C dark current measurement condition in this study, the Idark dominant component is Igeneration. This is because Idiffusion depends on an intrinsic semiconductor physical constant such as energy band gap, and Isurface does not depend on dark current measurement temperature.
We found that the DCS spectra have four peaks. The three peaks (Peaks 1, 2, and 4) of the DSC spectrum of the sensor with the CH2P-molecular-ion-implanted region are lower than those of the sensor with the C3H5-molecular-ion-implanted region. In particular, Peak 4 is significantly lower. In contrast, Peak 3 is not markedly different between the two spectra. Thus, it is considered that Peak 3 corresponds to dark current from process-induced defects rather than from metallic-impurity-related defects [26].
Figure 4 shows the normalized amount of dark current of CMOS image sensors fabricated by the epitaxial silicon wafers with the CH2P- and C3H5-molecular-ion-implanted region, as determined from the DCS spectra shown in Figure 3. The amount of dark current is defined as the cumulative number of pixels, which is detected as the amount of generated electrons shown in high dark current levels, exceeding 35 electron/s as determined from the DCS spectra. The amount of dark current in the sensors with the CH2P-molecular-ion-implanted region is 67% smaller than that in the sensors with the C3H5-molecular-ion-implnated region. We consider that the difference in the ratio of the amount of dark current depends on the metallic impurity concentration localized in the pixels. Thus, the analysis results focusing on the gettering capability of sensor wafers with the CH2P- and C3H5-molecular-ion-implanted regions are shown below to clarify the reduction in metallic impurities-related defects in the CMOS image sensor active region.
Figure 5 shows the size and density of BMDs formed in the silicon substrate of the CMOS image sensors with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers. Both CMOS image sensors were fabricated using carbon-doped silicon wafer substrates with high densities of BMD acting as intrinsic gettering (IG) sites for metallic impurities [35,36]. The CMOS image sensors show no significant differences in BMD size and density after the device fabrication process. IG capability depends on the BMD size and density. Thus, the IG capability is not significantly different between the sensors with CH2P and C3H5 molecular ion implantation. This finding indicates that the reduction in the amount of dark current depends on molecular ion implantation conditions such as the molecular ion species.
Figure 6a shows the depth profiles of the concentration of nickel metallic impurities in CMOS image sensors with the CH2P- and C3H5-molecular-ion-implantated region. The nickel impurities are gettered in each molecular-ion-implanted region formed under the epitaxial silicon layer. The amount of nickel impurities gettered in the CH2P-molecular-ion-implanted region is twice as high as that gettered in the C3H5-molecular-ion-implanted region, as shown Figure 6b. The amount of dark current and the amount of gettered nickel metallic impurities show opposite trend tendencies. Thus, the amount of dark current is reduced depending on the gettering capability of the molecular-ion-implanted region. Therefore, we then focused our investigation on the differences in the morphology of implantation defects, and concentrations of carbon and phosphorus between CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.

3.2. Characteristics of CH2P-Molecular-Ion-Implanted Region after Epitaxial Growth

Figure 7a,b show cross-sectional TEM images of the CH2P- and C3H5-molecular ion-implanted regions in epitaxial silicon wafers. Both molecular-ion-implanted regions showed only black pointed defects, and no CH2P-molecular-ion-implantation-related specific defects were observed. However, the width of the distribution of black pointed defects in the CH2P-molecular-ion-implanted region is 60 nm, which is smaller than that of 100 nm in the C3H5-molecular-ion-implanted region. From these results, the densities of black pointed defects distributed in the CH2P- and C3H5-molecular-ion-implanted regions are 1.58 × 1016 and 6.67 × 1015/cm3, respectively. Thus, the black pointed defects in the CH2P-molecular-ion-implanted region distribute more locally than those in the C3H5- molecular-ion-implanted region.
Figure 8 shows RTPL spectra under 827 nm excitation in the epitaxial silicon wafers without and with the CH2P- and C3H5-molecular-ion-implanted regions. The penetration depth of 827 nm excitation is around 10 µm, which reflects the PL emission intensity in regions including the molecular-ion-implanted region. The interband transition emission peak intensity of silicon (1.12 eV) in both the CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers is lower than that in the silicon wafer without molecular ion implantation. In addition, the intensity in the CH2P-molecular-ion-implanted epitaxial silicon wafer is lower than that in the C3H5-molecular-ion-implanted epitaxial silicon wafer. Thus, this experimental result indicates that the amount of implantation defects in the CH2P-molecular-ion-implanted region is higher than that in the C3H5-molecular-ion-implanted region.
Figure 9a,b show SIMS depth profiles of various element concentrations in the CH2P- and C3H5-molecular-ion-implanted regions after epitaxial growth. The concentrations of phosphorus and carbon are high in the CH2P-molecular-ion-implanted region after epitaxial growth. The depth profiles of carbon concentration in the CH2P-molecular-ion-implanted region show a higher peak and a sharper distribution than those in the C3H5-molecular-ion-implanted region. Oxygen impurities that diffuse to the epitaxial layer from the silicon substrate are trapped in both molecular-ion-implanted regions. Moreover, hydrogen is also trapped in both molecular-ion-implanted regions. The trapped hydrogen diffuses during the CMOS device fabrication process and acts as the passivation effect for Dit at the SiO2/Si interface [21,22,23,24].
Figure 10a,b show the carbon and oxygen concentrations localized in the CH2P- and C3H5-molecular-ion-implanted regions, which are obtained from Figure 9a,b. The carbon concentration of in the CH2P-molecular-ion-implanted region is higher than that in the C3H5-molecular-ion-implanted region after epitaxial growth regardless of the same carbon ion implantation dose. On the other hand, the concentration of oxygen impurities trapped in the CH2P-molecular-ion-implanted region is lower than that in the C3H5-molecular-ion-implanted region. These findings indicate that carbon localized in the CH2P-molecular-ion-implanted region has less interaction with oxygen, such as the formation of the C–O complex.
Figure 11a shows scanning electron microscopy (SEM) images of an acicular sample for L-APT in the CH2P-molecular-ion-implanted region after epitaxial growth. The acicular sample was machined parallel to the molecular-ion-implanted region, focusing on the black-pointed defects using a focused ion beam. Figure 11b shows the 3D distributions map of carbon (blue) and phosphorus (pink) in the CH2P-molecular-ion-implnted region in the epitaxial silicon wafer determined by L-APT. Carbon atoms locally agglomerate in the molecular-ion-implanted region, and correspond to the black pointed defects observed in the TEM image. Carbon atoms probably delineate the aggregations of C–I clusters consisting of carbon and silicon self-interstitials. On the other hand, phosphorus atoms are uniformly distributed in the CH2P-molecular-ion-implanted region. Thus, from the 3D distribution map, it is considered that agglomerated carbon and phosphorus atoms are not synchronized and do not from complexes.
Figure 12 shows the superposition of depth profiles of the phosphorus and carrier concentrations in the CH2P-molecular-ion-implanted region after epitaxial growth obtained by SIMS and SRA. The peak concentration of phosphorus is 5.70 × 1018/cm3, whereas the peak carrier concentration is 7.37 × 1016/cm3. Thus, 98% phosphorus are deactivated. Previous studies showed that the deactivated phosphorus form complexes with vacancies such as Pn–V [37,38].

4. Discussion

4.1. Origin of Specific Gettering Sinks in CH2P-Molecular-Ion-Implanted Region

We examine why the gettering capability of the CH2P-molecular-ion-implanted region is higher than that of the C3H5-molecular-ion-implanted region at the same carbon dose. From the evaluation results of each molecular-ion-implanted epitaxial silicon wafer, the characteristics of the CH2P-molecular-ion-implanted region are summarized as follows in comparison with those of the C3H5-molecular-ion-implanted region:
(1)
The density of black pointed defects distributed in the CH2P-molecular-ion-implanted region is higher than that in the C3H5-molecular-ion-implanted region;
(2)
The carbon concentration localized in the CH2P-molecular-ion-implanted region is higher than that in the C3H5-molecular-ion-implanted region; and
(3)
Phosphorus is deactivated by forming Pn–V complexes and does not interact with carbon distributed at the same depth in the CH2P-molecular-ion-implanted region.

4.2. Formation Model of Gettering Sinks in CH2P-Molecular-Ion-Implanted Region

First, we describe the formation model of gettering sinks in the CH2P- and C3H5-molecular-ion-implanted regions, as shown in Figure 13. In the case of CH2P molecular ion implantation, carbon and phosphorus are implanted into the silicon surface; and at the same time, Frenkel pairs, such as interstitial silicon and vacancies, are generated. Then, the implanted carbon and phosphorus form a complex by reacting with the Frenkel pairs during epitaxial growth as follows:
C + ISi → C–I
Pn + V → Pn–V
ISi + V → Sis
where C is carbon, ISi is interstitial silicon, P is phosphorus (n = 1–4), V is vacancy, and Sis is substitutional silicon. Carbon interacts with interstitial silicon to form a C–I complex, and phosphorus interacts with vacancies to form a Pn–V complex such as the P4–V complex, as shown in Figure 14a,b. The probability of annihilation with interstitial silicon and vacancies is low.
Pawlak and Duffy investigated the co-implantation of carbon and phosphorus monomer ions to suppress the enhanced phosphorus diffusion due to interact with interstitial silicon [39]. They showed that carbon and interstitial silicon generated during co-implantation predominantly form a C–I complex; thereby, the enhanced phosphine diffusion was suppressed. It is considered that the same reaction occurs in the CH2P-molecular-ion-implanted region after epitaxial growth. On the other hand, the concentration of phosphorus is not high in the C3H5-molecular-ion- implanted region. Only the C–I complex is formed, and there is a high possibility that interstitial silicon and vacancies will be annihilated. Carbon that could not interact with interstitial silicon to form a C–I complex diffuses isotropically. Since the density of the C–I complex is low in the C3H5-molecular-ion-implanted region, the carbon concentration and black pointed defect density are low, as shown by SIMS and TEM. Therefore, the CH2P-molecular-ion-implanted region forms two types of complex, namely C–I and Pn–V, particularly for carbon and phosphorus.

4.3. Gettering Capability of These Complexes Distributed in CH2P-Molecular-Ion-Implanted Region for Metallic Impurities

Next, we consider the gettering capability of the CH2P-molecular-ion-implanted region including C–I and Pn–V complexes for metallic impurities. Kurita and coworkers described that the gettering sinks in the C3H5-molecular-ion-implanted region originated from the black-pointed defects, which consist of carbon complexes such as agglomerated carbon–silicon self-interstitial clusters (C–I complex) [19,20,25,26]. The C–I complex has been shown by density functional theory (DFT) calculation to have high binding energies to metallic impurities and acts as a strong gettering sink [40,41,42]. Moreover, Masada and coworkers also concluded that the gettering capability of agglomerated C–I complexes for metallic impurities depends on the oxygen concentration in agglomerated C–I complexes, and that agglomerated C–I complexes with low oxygen concentrations have a high gettering capability, as shown by electron interaction with metallic impurities and nanostructure analysis using L-ATP [43,44,45]. SIMS analysis results show a similar tendency of the carbon and oxygen concentrations localized in the CH2P-molecular-ion-implanted region after epitaxial growth.
As for the Pn–V complex, Chan et al. developed gettering models of transition metals in the high-phosphorus-concentration region using DFT [46]. Their results showed that the Pn–V complex strongly binds to transition metals. In particular, the critical complex responsible for both phosphorus deactivation and metal gettering was identified to be the P4–V complex that most strongly binds transition metals, as shown Figure 14b.
Therefore, the CH2P-molecular-ion-implanted epitaxial silicon wafer has a high gettering capability for metallic impurities because this implanted region is formed with high densities of agglomerated C–I complexes and P4–V complexes.

5. Conclusions

We investigated the amount of dark current in CMOS image sensors fabricated with CH2P-molecular-ion-implanted epitaxial silicon wafers. The amount of dark current in the CMOS image sensor with the CH2P-molecular-ion-implanted region was 67% lower than that of the CMOS image sensor with the C3H5-molecular-ion-implanted region with the same carbon dose. The CH2P-molecular-ion-implanted epitaxial silicon wafers show the same three characteristics as the C3H5-molecular-ion-implanted epitaxial silicon wafers that can resolve the three important technological issues of 3D-CISs. Among them, we specifically found the improvement of the gettering capability for metallic impurities. Focusing on the gettering capability of the CH2P-molecular-ion-implanted region, the amount of nickel impurities gettered in the CH2P-molecular-ion-implanted region was twice that gettered in the C3H5-molecular-ion-implanted region after the CMOS image sensor fabrication process. Regarding the characteristics of the CH2P-molecular-ion-implanted region, the carbon peak concentration and black pointed defect density are high, and phosphorus is mainly distributed in a deactivated state.
Therefore, the CH2P-molecular-ion-implanted region has two types of gettering sink, namely, the high-density C–I complex and P4–V complex aggregates, which have a high binding energy for metallic impurities. We believe that CH2P-molecular-ion-implanted epitaxial silicon wafers can contribute to the improvement of the performance of CMOS image sensors.

Author Contributions

Conceptualization, T.K., R.H., A.O.-M., K.K. (Koji Kobayashi), A.S., R.O., Y.K., A.F. and K.K. (Kazunari Kurita); Data curation, T.K., R.H., A.O.-M., K.K. (Koji Kobayashi), A.S., R.O., Y.K., A.F. and K.K. (Kazunari Kurita); Formal analysis, T.K.; Investigation, T.K.; Methodology, T.K.; Project administration, K.K. (Kazunari Kurita); Writing—original draft, T.K. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

This data presented in this study are available on request from the corresponding author. The data are not publicly available because of confidentiality concerns.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Mizuta, K.; Tsugawa, H.; Nakamura, R.; Kagawa, Y.; Takahashi, T.; Sakakibara, M.; Tatani, K. The Evolutionary Process for 3D Stacked CMOS Image Sensor and the Advanced Technologies. Vac. Surf. Sci. 2019, 62, 660–665. (In Japanese) [Google Scholar] [CrossRef]
  2. Ohta, J. Smart CMOS Image Sensors and Application; CRC Press: Boca Raton, FL, USA, 2015; Chapter 2; pp. 20–22, 52. [Google Scholar]
  3. Bea, J.; Lee, K.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Evaluation of Cu Diffusion from Cu Through-Silicon via (TSV) in Three-Dimensional LSI by Transient Capacitance Measurement. IEEE Electron Device Lett. 2011, 32, 940–942. [Google Scholar] [CrossRef]
  4. Lee, K.; Bea, J.; Ohara, Y.; Murugesn, M.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Impact of Cu Contamination on Device Reliabilities in 3-D IC Integration. IEEE Trans. Device Mater. Reliab. 2014, 14, 451–462. [Google Scholar]
  5. Kagawa, Y.; Fujii, N.; Aoyagi, K.; Kobayashi, Y.; Nishi, S.; Takeshita, S.; Taura, J.; Takahashi, H.; Tatani, K.; Kawamura, M.; et al. An Advanced CuCu Hybrid Bonding For Novel Stacked CMOS Image Sensor. In Proceedings of the IEEE Electron Device Technology and Manufacturing Conference, Kobe, Japan, 13–16 March 2018; pp. 65–67. [Google Scholar]
  6. Graff, K. Metal Impurities in Silicon-Devices Fabrication, 2nd ed.; Springer: Berlin/Heidelberg, Germany, 2000. [Google Scholar]
  7. Russo, F.; Nardone, G.; Polignano, M.L.; D’Ercole, A.; Pennella, F.; Felico, M.D.; Monta, A.D.; Matarazzo, A.; Moccia, G.; Polsinella, G.; et al. Dark Current Spectroscopy of Transition Metals in CMOS Image Sensors. ECS J. Solid State Sci. Technol. 2017, 6, 217–226. [Google Scholar] [CrossRef]
  8. Shoyama, T. Influence of various impurities on performance of CMOS image sensor. In Proceedings of the 2018 8th Forum on the Science and Technology of Silicon Materials, Okayama, Japan, 21 November 2018; pp. 184–186. [Google Scholar]
  9. Tournier, A.; Leverd, F.; Favennec, L.; Perrot, C.; Pinzelli, L.; Gatefait, M.; Cherault, N.; Jeanjean, D.; Carrere, J.–P.; Hirigoyen, F.; et al. Pixel-to-Pixel isolation by Deep Trench technology: Application to CMOS Image Sensor. In Proceedings of the 2011 International Image Sensor Workshop, Hokkaido, Japan, 8 June 2011; pp. 12–15. [Google Scholar]
  10. Caplan, P.J.; Poindexter, E.H. ECR centers, interface states, and oxide fixed charge in thermally oxidized silicon wafers. J. Appl. Phys. 1979, 50, 5847–5854. [Google Scholar] [CrossRef]
  11. Poindexter, E.H.; Gerardi, G.J.; Rueckel, M.-E.; Caplan, P.J. Electronic traps and Pb centers at the Si/SiO2 interface: Band-gap energy distribution. J. Appl. Phys. 1984, 56, 2844–2849. [Google Scholar] [CrossRef]
  12. Regolini, J.L.; Benoit, D.; Morin, P. Passivation issues in active pixel CMOS image sensors. Microelectron. Reliab. 2007, 47, 739–742. [Google Scholar] [CrossRef]
  13. Benoit, D.; Regolini, J.L.; Morin, P. Hydrogen desorption and diffusion in PECVD silicon nitride. Application to passivation of CMOS active pixel sensors. Microelectron. Reliab. 2007, 84, 2169–2172. [Google Scholar] [CrossRef]
  14. Chen, P.J.; Wallace, R.M. Deuterium transport through device structures. J. Appl. Phys. 1999, 86, 2237–2244. [Google Scholar] [CrossRef]
  15. Yamade, I.; Matsuo, J. Solid surface process by gas cluster ion beam. Oyo Buturi 1997, 66, 559. (In Japanese) [Google Scholar]
  16. Tanjyo, M.; Hamamoto, N.; Nagayama, T.; Umisedo, S.; Koga, Y.; Maehara, N.; Une, H.; Matsumoto, T.; Nagai, N.; Borland, J.O. Cluster Ion Implantation system: Claris for Beyond 45 nm Device Fabrication (II). ECS Trans. 2009, 18, 1059–1064. [Google Scholar] [CrossRef] [Green Version]
  17. Kadono, T.; Kurita, K. Method of Producing Semiconductor Epitaxial Wafer, Semiconductor Epitaxial Wafer, and Method of Producing Solid-State Image Sensing Device. Japan Patent 5,673,811, 9 January 2015. [Google Scholar]
  18. Kurita, K. Progress of silicon wafer gettering technology. Oyo Buturi 2015, 84, 628–633. (In Japanese) [Google Scholar]
  19. Kurita, K.; Kadono, T.; Okuyama, R.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity gettering of C3H5 carbon cluster ion-implanted silicon wafers for CMOS image sensors: Gettering effects of transition metal, oxygen, and hydrogen impurities. Jpn. J. Appl. Phys. 2016, 55, 121301. [Google Scholar] [CrossRef]
  20. Kurita, K.; Kadono, T.; Okuyama, R.; Shigematsu, S.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity Gettering Technology for Advanced CMOS image sensors using carbon cluster ion-implantation technique: A review. Phys. Status Solid A 2017, 214, 1700216. [Google Scholar] [CrossRef]
  21. Okuyama, R.; Kadono, T.; Masada, A.; Hirose, R.; Koga, Y.; Okuda, H.; Kurita, K. Trapping and diffusion kinetic of hydrogen in carbon-cluster ion-implantation projected range in Czochralski silicon wafers. Jpn. J. Appl. Phys. 2017, 56, 601–606. [Google Scholar] [CrossRef]
  22. Okuyama, R.; Shigematsu, S.; Hirose, R.; Masada, A.; Kadono, T.; Koga, Y.; Okuda, H.; Kurita, K. Trapping and diffusion behavior of hydrogen simulated with TCAD in projection range of carbon-cluster implanted silicon epitaxial wafers for CMOS image sensors. Phys. Status Solidi C 2017, 14, 1700036. [Google Scholar] [CrossRef]
  23. Okuyama, R.; Kadono, T.; Onaka-Masada, A.; Suzuki, A.; Kobayashi, K.; Shigematsu, S.; Hirose, R.; Koga, Y.; Kurita, K. Hydrogen passivation for reduction of SiO2/Si interface state density using hydrocarbon-molecular-ion-implanted silicon wafers. Jpn. J. Appl. Phys. 2020, 59, 125502. [Google Scholar] [CrossRef]
  24. Okuyama, R.; Kadono, T.; Onaka-Masada, A.; Suzuki, A.; Kobayashi, K.; Shigematsu, S.; Hirose, R.; Koga, Y.; Kurita, K. Hydrogen diffusion behavior in CH2P-molecuar-ion-implanted silicon wafers for CMOS image sensors. Mater. Sci. Semicond. Process. 2022, 137, 106211. [Google Scholar] [CrossRef]
  25. Kurita, K.; Kadono, T.; Okuyama, R.; Shigematsu, S.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. A Review of Proximity Gettering Technology for CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation. Sens. Mater. 2019, 31, 1939–1955. [Google Scholar] [CrossRef]
  26. Kurita, K.; Kadono, T.; Shigematsu, S.; Hirose, R.; Okuyama, R.; Onaka-Masada, A.; Okuda, H.; Koga, Y. Proximity Gettering Design of Hydrocarbon-Molecular-Ion-Implanted Silicon Wafers Using Dark Current Spectroscopy for CMOS Image Sensors. Sensors 2019, 19, 2073. [Google Scholar] [CrossRef] [Green Version]
  27. McGrath, R.D.; Doty, J.; Lupino, G.; Ricker, G.; Vallerga, V. Counting of Deep-Level Traps Using a Charge-Coupled Devices. IEEE Trans. Electron Devices 1987, 34, 2555–2557. [Google Scholar] [CrossRef]
  28. Mccolgin, W.C.; Lavine, J.P.; Stancampiano, C.V. Probing metal Defects in CCD Image Sensors. MRS Proc. 1995, 378, 713–724. [Google Scholar] [CrossRef]
  29. Mccolgin, W.C.; Lavine, J.P.; Stancampiano, C.V. Dark Current Spectroscopy of Metals in Silicon. MRS Proc. 1996, 442, 187–192. [Google Scholar] [CrossRef]
  30. Shimura, F. Semiconductor Silicon Crystal Technology; Academic Press: Cambridge, MA, USA, 1989; Chapter 7; p. 354. [Google Scholar]
  31. Rozgoni, G.A.; Petroff, P.M.; Read, M.H. Elimination of Oxidiation-Induced Stacking Faults by Preoxidation Gettering of Silicon wafers. J. Electrochem. Soc. 1975, 122, 1725–1729. [Google Scholar] [CrossRef]
  32. Tseng, W.F.; Koji, T.; Mayer, J.W.; Seidel, T.E. Simultaneous gettering of Au in Silicon by phosphorus and dislocations. Appl. Phys. Lett. 1987, 33, 442–444. [Google Scholar] [CrossRef]
  33. Lecrosnier, D.; Paugam, J.; Richou, F.; Pelous, G.; Beniere, F. Influence of phosphorus-induced point defects on a gold-gettering mechanism in silicon. J. Appl. Phys. 1980, 51, 1036–1038. [Google Scholar] [CrossRef]
  34. Hirose, R.; Kadono, T.; Okuyama, R.; Onaka-Masada, A.; Shigematsu, S.; Kobayashi, K.; Koga, Y.; Kurita, K. Proximity gettering technique using CH3O multielement molecular ion implantation for the reduction of the white spot defect density in CMOS image sensor. Jpn. J. Appl. Phys. 2019, 58, 091002. [Google Scholar] [CrossRef]
  35. Sueoka, K.; Sadamitsu, S.; Koike, Y.; Kihara, T.; Katahama, H. Internal Gettering for Ni Contamination in Czochralski Silicon Wafers. J. Electrochem. Soc. 2000, 147, 3074–3077. [Google Scholar] [CrossRef]
  36. Sueoka, K. Modeling of Internal Gettering of Nickel and Copper by Oxide Precipitates in Czochralski-Si Wafers. J. Electrochem. Soc. 2005, 152, G731–G735. [Google Scholar] [CrossRef]
  37. Takamura, Y.; Jain, S.H.; Griffin, P.B.; Plummer, J.D. Thermal Stability of dopants in laser annealed silicon. J. Appl. Phys. 2002, 92, 230–234. [Google Scholar] [CrossRef]
  38. Takamura, Y.; Griffin, P.B.; Plummer, J.D. Physical processes associated with the deactivation of dopants in laser annealed silicon. J. Appl. Phys. 2002, 92, 235–244. [Google Scholar] [CrossRef]
  39. Pawlak, B.J.; Duffy, R. Suppression of phosphorus diffusion by carbon co-implantation. Appl. Phys. Lett. 2006, 89, 062102. [Google Scholar] [CrossRef]
  40. Jin, Y.; Dunham, S.T. Modeling of Carbon Clustering and Associated Metal Gettering. ECS Trans. 2014, 64, 211–218. [Google Scholar] [CrossRef]
  41. Shirasawa, S.; Sueoka, K.; Yamaguchi, T.; Maekawa, K. Useful Database of Effective Gettering Sites for Metal Impurities in Si Wafers with First Principle Calculation. J. Electrochem. Soc. 2015, 4, 351–355. [Google Scholar] [CrossRef]
  42. Shirasawa, S.; Sueoka, K.; Yamaguchi, T.; Maekawa, K. Density functional theory calculations for estimation of gettering sites of C, H, intrinsic point defects and related complexes in Si wafers. Mater. Sci. Semicond. Process. 2016, 44, 13–17. [Google Scholar] [CrossRef]
  43. Onaka-Masada, A.; Nakai, T.; Okuyama, R.; Okuda, H.; Kadono, T.; Hirose, R.; Koga, Y.; Kurita, K.; Sueoka, K. Effect of Low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors. Jpn. J. Appl. Phys. 2018, 57, 021304. [Google Scholar] [CrossRef]
  44. Onaka-Masada, A.; Kadono, T.; Okuyama, R.; Hirose, R.; Kobayashi, K.; Suzuki, A.; Koga, Y.; Kurita, K. Reduction of Dark Current in CMOS Image Sensor Pixels Using Hydrocarbon-Molecular-Ion-Implanted Double Epitaxial Si Wafers. Sensors 2020, 20, 6620. [Google Scholar] [CrossRef]
  45. Shigematsu, S.; Okuyama, R.; Hirose, R.; Kadono, T.; Onaka-Masada, A.; Suzuki, A.; Kobayashi, K.; Okuda, H.; Koga, Y.; Kurita, K. Influence of oxygen on copper gettering in hydrocarbon molecular ion implanted region using atom probe tomography. Nucl. Instrum. Methods Phys. Res. B 2020, 478, 99–103. [Google Scholar] [CrossRef]
  46. Chen, R.; Trzynadlowski, B.; Dunham, S.T. Phosphorus vacancy cluster model for phosphorus diffusion gettering of metals in Si. J. Appl. Phys. 2014, 115, 054906. [Google Scholar] [CrossRef]
Figure 1. Three characteristics of hydrocarbon-molecular-ion-implanted epitaxial silicon wafer for CMOS image sensor fabrication process.
Figure 1. Three characteristics of hydrocarbon-molecular-ion-implanted epitaxial silicon wafer for CMOS image sensor fabrication process.
Sensors 22 08258 g001
Figure 2. Cross-sectional structures of CH2P- andC3H5-molecular-ion-implanted epitaxial silicon wafers.
Figure 2. Cross-sectional structures of CH2P- andC3H5-molecular-ion-implanted epitaxial silicon wafers.
Sensors 22 08258 g002
Figure 3. Dark current histogram obtained by DCS at 60 °C for CMOS image sensors fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.
Figure 3. Dark current histogram obtained by DCS at 60 °C for CMOS image sensors fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.
Sensors 22 08258 g003
Figure 4. Normalized amount of dark current for CMOS image sensors fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.
Figure 4. Normalized amount of dark current for CMOS image sensors fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.
Sensors 22 08258 g004
Figure 5. Cross-sectional BMD density and size determined by optical microscopy observant ion of CMOS images sensor fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.
Figure 5. Cross-sectional BMD density and size determined by optical microscopy observant ion of CMOS images sensor fabricated with CH2P- and C3H5-molecular-ion-implanted epitaxial silicon wafers.
Sensors 22 08258 g005
Figure 6. (a) SIMS depth profiles of concentration of gettered nickel impurities in CH2P- and C3H5-molecular-ion-implened regions of CMOS image sensors. (b) Normalized amount of nickel gettered in CH2P- and C3H5-molecular-ion-implaned regions after CMOS image sensor fabrication process.
Figure 6. (a) SIMS depth profiles of concentration of gettered nickel impurities in CH2P- and C3H5-molecular-ion-implened regions of CMOS image sensors. (b) Normalized amount of nickel gettered in CH2P- and C3H5-molecular-ion-implaned regions after CMOS image sensor fabrication process.
Sensors 22 08258 g006
Figure 7. (a) Cross-sectional TEM images of CH2P- and C3H5-molecular-ion-implanted regions with epitaxial silicon wafers. (b) Density of black pointed defects distributed in CH2P- and C3H5-molecular-ion-implanted regions.
Figure 7. (a) Cross-sectional TEM images of CH2P- and C3H5-molecular-ion-implanted regions with epitaxial silicon wafers. (b) Density of black pointed defects distributed in CH2P- and C3H5-molecular-ion-implanted regions.
Sensors 22 08258 g007
Figure 8. RTPL spectra under 827 nm excitation in epitaxial silicon wafers without and with CH2P- and C3H5-molecular-ion-implanted regions.
Figure 8. RTPL spectra under 827 nm excitation in epitaxial silicon wafers without and with CH2P- and C3H5-molecular-ion-implanted regions.
Sensors 22 08258 g008
Figure 9. SIMS depth profiles of concentration of (a) CH2P- and (b) C3H5-molecular-ion-implanted regions after epitaxial growth.
Figure 9. SIMS depth profiles of concentration of (a) CH2P- and (b) C3H5-molecular-ion-implanted regions after epitaxial growth.
Sensors 22 08258 g009
Figure 10. (a) Carbon and (b) oxygen concentrations localized in CH2P- and C3H5-molecular-ion-implanted regions after epitaxial growth.
Figure 10. (a) Carbon and (b) oxygen concentrations localized in CH2P- and C3H5-molecular-ion-implanted regions after epitaxial growth.
Sensors 22 08258 g010
Figure 11. (a) TEM (left) and SEM (right) images of acicular sample for L-ATP. (b) 3D-APT map of carbon and phosphorus in CH2P-molecular-ion-implanted region after epitaxial growth.
Figure 11. (a) TEM (left) and SEM (right) images of acicular sample for L-ATP. (b) 3D-APT map of carbon and phosphorus in CH2P-molecular-ion-implanted region after epitaxial growth.
Sensors 22 08258 g011
Figure 12. Superposition of depth profiles of phosphorus and carrier concentrations in CH2P-molecular-ion-implanted region after epitaxial growth.
Figure 12. Superposition of depth profiles of phosphorus and carrier concentrations in CH2P-molecular-ion-implanted region after epitaxial growth.
Sensors 22 08258 g012
Figure 13. Illustration of formation model of each complex in CH2P- and C3H5-molecular-ion-im- planted regions during (a) molecular ion implantation and (b) epitaxial growth.
Figure 13. Illustration of formation model of each complex in CH2P- and C3H5-molecular-ion-im- planted regions during (a) molecular ion implantation and (b) epitaxial growth.
Sensors 22 08258 g013
Figure 14. Schematics of (a) C–I and (b) P4–V complex formed in CH2P-molecular-ion-implanted region after epitaxial growth. The carbon and phosphorus are blue and pink, respectively.
Figure 14. Schematics of (a) C–I and (b) P4–V complex formed in CH2P-molecular-ion-implanted region after epitaxial growth. The carbon and phosphorus are blue and pink, respectively.
Sensors 22 08258 g014
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Kadono, T.; Hirose, R.; Onaka-Masada, A.; Kobayashi, K.; Suzuki, A.; Okuyama, R.; Koga, Y.; Fukuyama, A.; Kurita, K. Reduction of White Spot Defects in CMOS Image Sensors Fabricated Using Epitaxial Silicon Wafer with Proximity Gettering Sinks by CH2P Molecular Ion Implantation. Sensors 2022, 22, 8258. https://doi.org/10.3390/s22218258

AMA Style

Kadono T, Hirose R, Onaka-Masada A, Kobayashi K, Suzuki A, Okuyama R, Koga Y, Fukuyama A, Kurita K. Reduction of White Spot Defects in CMOS Image Sensors Fabricated Using Epitaxial Silicon Wafer with Proximity Gettering Sinks by CH2P Molecular Ion Implantation. Sensors. 2022; 22(21):8258. https://doi.org/10.3390/s22218258

Chicago/Turabian Style

Kadono, Takeshi, Ryo Hirose, Ayumi Onaka-Masada, Koji Kobayashi, Akihiro Suzuki, Ryosuke Okuyama, Yoshihiro Koga, Atsuhiko Fukuyama, and Kazunari Kurita. 2022. "Reduction of White Spot Defects in CMOS Image Sensors Fabricated Using Epitaxial Silicon Wafer with Proximity Gettering Sinks by CH2P Molecular Ion Implantation" Sensors 22, no. 21: 8258. https://doi.org/10.3390/s22218258

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop