You are currently viewing a new version of our website. To view the old version click .
Sensors
  • Review
  • Open Access

1 June 2022

A Review of Capabilities and Scope for Hybrid Integration Offered by Silicon-Nitride-Based Photonic Integrated Circuits

,
,
,
,
,
,
,
,
and
1
Optoelectronics Research Centre, University of Southampton, Southampton SO17 1BJ, UK
2
Instituto de Microelectrónica de Barcelona, IMB-CNM (CSIC), Campus UAB, 08193 Barcelona, Spain
3
Electrical Computer and Biomedical Engineering, University of Pavia, 27100 Pavia, Italy
*
Author to whom correspondence should be addressed.
This article belongs to the Special Issue Silicon Photonics: A Theme Issue in Honor of Professor Richard A. Soref

Abstract

In this review we present some of the recent advances in the field of silicon nitride photonic integrated circuits. The review focuses on the material deposition techniques currently available, illustrating the capabilities of each technique. The review then expands on the functionalisation of the platform to achieve nonlinear processing, optical modulation, nonvolatile optical memories and integration with III-V materials to obtain lasing or gain capabilities.

1. Introduction

In the last decade, the accelerated development of photonic integrated circuits (PICs) based on silicon-compatible materials has been mainly driven by the need to develop devices that can fulfil the high demands of optical communications and data interconnects in the near-infrared (NIR) [1,2,3]. However, as the integrated platforms have matured, the use of PICs have broadened to applications in which some of the intrinsic properties of silicon pose a challenge. As a result, there has been an increased interest in exploring different CMOS compatible materials that can complement the functionality of silicon, such as amorphous silicon, silicon oxynitride, silicon nitride, aluminium nitride (AlN) and alumina (Al2O3) [4,5,6,7,8].
Amongst these materials, silicon nitride (SiN) is a mature CMOS compatible platform that has gained significant attention for the demonstration of linear, nonlinear and active integrated photonics devices [9] due to its flexible optical properties that can be tailored for a wide range of integration schemes and applications. SiN is suitable for broadband applications since it has a wide optical bandgap (2.7–5 eV) that sets the lower limit of its transparency window to the ultraviolet (UV) regime extending it all the way to the mid-infrared ( 5 μ m ). The material also offers a compromise between level of integration and flexible dimension control as it has a modest refractive index that can be tuned between 1.7 and 3.1 to provide a good optical confinement in the near-infrared (NIR) with a high tolerance to both surface roughness and dimensional variations that enable one to attain ultralow propagation losses [10,11,12]. Additionally, it has a low thermo-optic coefficient ( 10 5 ), which makes it attractive for the realisation of devices that are highly sensitive to temperature variations. Finally, it exhibits a third-order Kerr nonlinearity with negligible two-photon absorption (TPA) in the NIR which makes it suitable for nonlinear applications.
This review article presents the recent progress on the integration of the SiN platform in a variety of interesting research areas. This includes the demonstration of waveguides with sub-dB propagation losses in the C-band ( 1550 nm) for all-optical processing and the use of custom SiN films with a high silicon concentration to achieve large Kerr coefficients for nonlinear optics. Additionally, it covers the integration of SiN with a range of materials such as electro-optic polymers, lithium niobate (LN), barium titanate oxide (BTO), graphene, TMD semiconductors and transparent conducting oxides (TCOs) to demonstrate optical modulators. It further discusses the integration of SiN with phase change materials (PCMs) and a postfabrication device trimming technique as strategies to achieve nonvolatile photonic devices. Finally, it incorporates the integration of III-V materials and SiN waveguides as a means to achieve efficient lasers on a silicon substrate. The vast subject of sensing and in particular gas sensing and biological sensing, which is the subject of intense research for chemical functionalisation and sensing through evanescent field interaction, is not covered in this review as it has been covered extensively elsewhere [13,14].

2. Low-Loss Silicon Nitride Materials

SiN films are typically deposited using either high-temperature low-pressure chemical vapour deposition (LPCVD) or low-temperature plasma-enhanced chemical vapour deposition (PECVD). Both methods rely on chemical reactions in the gas form that result in hydrogenated amorphous films with a composition that tends to depart from the stoichiometric form of the material (Si3N4). Hence, these films are characterised by the presence of hydrogen bonds (Si-H and N-H), which act as absorption centres that can lead to undesirable losses in the NIR, particularly in the C-band centred at 1550 nm. The main difference between the two methods is that LPCVD layers are deposited at high temperatures (>800 °C), while PECVD films are deposited at much lower temperatures (<400 °C).
Although PECVD films enable the back-end-of-line integration with materials that are sensitive to temperature, layers deposited through LPCVD are by far preferred for the growth of SiN films for single-layer photonic integrated circuits since high quality layers with a high density and high uniformity can be achieved with a relatively low processing cost and high throughput. Moreover, high-temperature LPCVD processes have the energy required to break the hydrogen bonds that can increase the optical losses in the NIR, enabling the realisation of devices with losses <1 dB/cm.
In fact, LPCVD SiN waveguides with ultralow propagation losses < 0.1 dB/ m at 1550 nm and a record low loss of 0.045 dB/ m at 1580 nm have been demonstrated since 2011 [15,16,17]. To achieve these results, the typical strip waveguide geometry that provides a moderate optical confinement with a thickness ranging between 200 and 400 nm needs to be replaced with a high aspect ratio geometry with a thickness below 100 nm. By using such a geometry, the optical confinement of the waveguide is reduced allowing more than 85% of the mode to travel in the SiO2 cladding. Therefore, the large mode area reduces the scattering losses by minimising the mode interaction with the sidewall roughness of the waveguide, allowing a decrease of the propagation losses from values close to 1 dB/cm attained with the moderate confinement geometry to the sub-dB scale [18,19,20].
Although the low-confinement approach drastically reduces the propagation losses of the waveguides, it increases the footprint of the fabricated devices since radii >5 mm are required to reduce the bending losses. As a result, waveguides with a small effective area and high confinement are necessary to enable tighter bends for large scale photonic integrated systems [21,22]. Furthermore, they are critical to achieve high optical intensity, dispersion engineering for nonlinear processes and a reduced birefringence to potentially allow for the fabrication of polarisation-independent devices [18,21,22].
However, as the modes within such thick waveguides are highly localised in the core, both the intrinsic losses of the material and the scattering losses produced at the interfaces of the waveguide have a stronger impact than radiation losses on the minimum propagation losses that can be realised. Moreover, the growth of SiN layers with thickness >400 nm poses a fabrication challenge as they tend to crack due to the tensile stress of the material, especially if they are deposited with a high-temperature LPCVD process [23].
Several approaches have been proposed to demonstrate thick SiN layers with low propagation losses. As previously discussed, the propagation losses are the result of the scattering losses that occur due to surface roughness, the absorption produced chemical impurities and defects within the material, and the radiation losses directly related to the confinement of the light. Most of the proposed methods focus on reducing the absorption losses of the material layer and the scattering losses through advanced fabrication techniques, since the radiation losses of the highly confined geometries tend to be negligible. In this section, we discuss some of the methods that have been used to achieve losses <1 dB/cm and <2 dB/cm using LPCVD and PECVD SiN layers.

2.1. Thermal Cycling

Since 2013, Lipson et al. have demonstrated a subtractive method based on thermal cycling and stress relieving mechanical trenches to produce stoichiometric Si3N4 layers with thickness up to 910 nm on 100 mm oxidised silicon wafers (Figure 1). In this approach, the stress of the films is alleviated by mechanically scribing a series of trenches on the top SiO2 surface around the edges of the wafer [24]. These trenches prevent the formation of cracks that can propagate across the wafer, creating crack-free regions in which photonic devices can be fabricated.
Figure 1. Schematic thermal cycling process. Trenches are formed and then, a 350 nm film of Si3N4 is deposited via LPCVD and annealed at 1200 °C. The same process is repeated to achieve the desired thickness. Reproduced from [22] under a CC BY 4.0 license.
The growth of the LPCVD layer is performed in a multistep process to minimise the internal stress of the layers. Firstly, a 350 nm film is deposited and then annealed at 1200 °C for 3 h in an Ar atmosphere to reduce its hydrogen concentration in order to minimise its absorption losses. Afterwards, both steps are repeated to reach the required thickness [22]. The top roughness of the surface layer can be further reduced by means of a chemical mechanical polishing step (CMP) before patterning the devices using electron beam lithography (EBL) and inductively coupled plasma reactive ion etching (ICP-RIE) using a CHF3/O2/N2 chemistry [22,25]. Finally, to minimise the contribution of the cladding to the propagation loss of the devices, the wafer is cladded with a 250 nm layer of high-temperature oxide (HTO) followed by 2 μ m of PECVD oxide. Using this fabrication method, the authors have not only demonstrated thick Si3N4 films with low-thickness nonuniformity <1%, but they have realised 1800 × 910 nm waveguides with propagation losses as low as 0.04 dB/cm at 1550 nm [22,24].

2.2. Photonic Damascene Reflow Process

In 2015, Epping et al. introduced an innovative additive fabrication process that can be used to fabricate waveguides with a thickness up to 900 nm by depositing a thick Si3N4 layer into trenches patterned on a 100 mm thermally oxidised wafer [26]. After further optimisation, this method evolved into the photonic Damascene reflow process illustrated in Figure 2 [27,28,29,30]. In this technique, waveguide trenches and a dense stress-release pattern are written onto a wafer by means of EBL and photolithography. The full pattern is then etched through reactive ion etching (RIE) using a thin amorphous silicon layer as a sacrificial hard mask which is then stripped. Before depositing the Si3N4 film, a reflow step of the oxide preform is performed by heating the substrate at 1250 °C to reduce the sidewall roughness, which can introduce additional scattering losses without deforming the waveguide. The LPCVD Si3N4 film with a thickness up to 1.5 μ m is then deposited in order to fill completely the previously etched trenches. The device waveguides are then obtained by removing the excess Si3N4 by means of CMP to achieve a planar and polished surface. Once the waveguides are obtained, the wafer is annealed at 1150 °C to reduce the absorption losses of the material. Finally, the substrate is cladded with a layer of tetraethyl orthosilicate (TEOS) followed by a low-temperature oxide layer (LTO). This optimised method has allowed the demonstration of 2000 × 600 nm waveguides with propagation losses of 0.05 dB/cm at 1550 nm [29]. Furthermore, this process has been further optimised to provide high-yield and full wafer-scale fabrication of low-loss devices on 100 mm substrates [21]. To achieve this, EBL has been replaced by DUV stepper lithography, which enables the increase of the throughput and the reproducibility of the process. Additionally, an etch-back process based on dry etching and CMP has been introduced to decrease the wafer-scale thickness variation of the Si3N4 layer (<3%).
Figure 2. Damascene reflow process steps including lithography, preform dry etching, preform reflow, LPCVD Si3N4 deposition, planarisation and cladding deposition. Reproduced from [21] under a CC BY 4.0 license.

2.3. Multistep Annealing

In 2018, Ye et al. proposed another subtractive fabrication method illustrated in Figure 3, that relies on multiple annealing steps to achieve films with thicknesses >600 nm on 76 nm silicon wafers with a 3 μ m thermal oxide [31]. In this method, the stress-release trenches and the thermal cycling process described previously are adopted to reduce the crack formation. After transferring the stress-release pattern with direct laser writing, the Si3N4 layer is deposited in an LPCVD furnace in two steps, in between which the deposited film is annealed at 1100 °C for 3 h in a N2 ambient to minimise its hydrogen content. Later on, the devices are patterned on the layer by means of EBL and ICP-RIE with a CHF3/O2 chemistry. After etching, the wafer is annealed again at 1100 °C for 3 h in N2 to further reduce the hydrogen. The waveguides are then covered with a 500 nm layer of low-pressure TEOS deposited at 710 °C which is then annealed at 1100 °C in N2 to increase its density. Finally, the devices are cladded with 2 μ m of PECVD oxide. Following this method, the authors have realised 1800 × 645 nm waveguides with propagation losses of 0.03 dB/cm at 1550 nm [31]. Furthermore, amongst the methods described previously, this technique has been the only one that has demonstrated Si-rich SiN waveguides of a cross-section of 1850 × 600 nm, exhibiting propagation losses of 0.4 dB/cm at 1550 nm [32].
Figure 3. Multistep annealing process flow: (I) thermal oxidation, (II) photolithography, (III) SiO2 HF etch, (IV) Si3N4 deposition and annealing at 1100 °C, (V) second Si3N4, (VI) E-beam lithography, (VII) Si3N4 etch, (VIII) LPCVD SiO2 deposition and annealing at 1100 °C and (IX) PECVD SiO2 deposition. Reprinted with permission from [31], ©2019 The Optical Society.

2.4. Twist-and-Grow

In 2018, El Dirani et al. developed a deposition technique known as twist-and-grow illustrated in Figure 4, that has been used to produce thick Si3N4 layers (>1 μ m ) in a standard large-format fabrication line [33]. The fabrication begins with a blanket 200 mm silicon substrate with a 3 μ m thermal oxide layer, on which a 800 nm thick Si3N4 film is deposited in two steps using the twist-and-grow technique [34,35]. In this method, the layers are deposited by means of LPCVD at 780 °C with a deposition rate of <2 nm/min that enables the production of films with minimal hydrogen content. In between steps, the wafer is cooled down to 630 °C to eliminate stress-related cracks and rotated by 45° to redistribute the uniaxial stress that can significantly bow the wafer. The wafer then goes through a CMP step to reduce the surface roughness before patterning the waveguides using DUV lithography and inductively coupled plasma etching (ICP) with a tailored CF4/CHF3/Ar that minimises sidewall roughness. The resist and any etching residues are removed with an O2 plasma and the surface is cleaned with a H2SO4 solution before selectively etching the Si3N4 on the backside of the wafer to alleviate the tensile stress that can appear after patterning to ensure that the wafer can continue its fabrication in the process line. In order to reduce the propagation losses, the patterned wafer is annealed at 1100 °C for a few minutes in H2 to smoothen the sidewalls, at 1200 °C in O2 to encapsulate the waveguides with a native oxidation to reduce scattering centres, and at 1200 °C for 3 h in N2 to drive out the hydrogen impurities that contribute to the absorption of the material in the NIR. As a last step, the waveguides are encapsulated with a TEOS and a LTO layer deposited by high-density plasma-enhanced chemical vapour deposition (HDP-ECVD). Using this fabrication process the authors have not only demonstrated 1600 × 800 nm waveguides with propagation losses of 0.035 dB/cm at 1560 nm [33], but they have also demonstrated a largely scalable process with high reproducibility that has potential for applications that require thicker layers.
Figure 4. Schematics of the twist-and-grow and multiple-step chemical-physical annealing process: (a) twist-and-grow deposition of Si3N4, (b) images of the grown Si3N4 with and without twist-and-grow, (c) fluorocarbon dry etching and wafer bow management, (d) example of a Si3N4 waveguide with sidewall angle <2 deg after CMP, (e) AFM micrograph before CMP, (f) SEM cross-section of the encapsulated waveguides, (g) multistep H2/O2/N2 in situ annealing and (h) multistep encapsulation. Reprinted with permission from [33], ©2019 The Optical Society.

2.5. Summary

Table 1 summarises the main features of the processes that have been used to demonstrate SiN layers with losses in the sub-dB/cm regime. All these methods have achieved losses < 0.1 dB/cm by applying a combination of stress-release patterns, multistep deposition processes, multiple annealing steps and CMP planarisation. However, although they provide very low losses, none of these techniques are compatible with the CMOS back-end-of-line which is necessary to achieve the multilayer integration required for more complex photonic integrated circuits, as they all have steps with high-processing temperatures (>400 °C). Moreover, all the methods have mostly focused on optimising the Si3N4 stoichiometric composition (n = 2.0), except for the multistep annealing process that has also demonstrated low-loss Si-rich SiN films (n = 2.07). This narrow range of refractive indices poses limitations for nonlinear applications that require higher refractive indices to achieve stronger nonlinear effects [11,36] and for the demonstration of devices operating at shorter telecom wavelengths which often benefit from lower refractive indices to minimise propagation losses and phase errors [37,38].
Table 1. Low-loss LPCVD SiN fabrication processes.

3. Si-Rich Silicon Nitride for Nonlinear Photonics

Variation of the gas composition of the film-forming reactants during the silicon nitride (SiN) deposition process affects not only the linear part of the refractive index, but also the nonlinear part. This provides an additional degree of freedom in the design of all-optical signal processing devices based on third-order (Kerr) nonlinear effects [39,40,41]. Si-rich SiN layers of a varying refractive index can be grown by increasing the amount of SiH 4 during the material deposition process. As reported in the literature [39,42], an increase in the silicon concentration allows a greater nonlinear Kerr coefficient to be realised, however, at the expense of typically higher absorption losses. Therefore, a proper material composition can be selected depending on the specific requirements of the target application. For example, low-loss ( 0.4 dB/cm ) Si-rich SiN with a refractive index of 2.07 at 1550 nm (slightly greater than that of stoichiometric Si3N4) was used to fabricate microresonators with high quality factors (Q ∼ 0.8 × 10 6 ) in the C and L bands, allowing the generation of broadband coherent frequency combs in this platform [32]. On the other hand, despite its higher propagation losses (3–10 dB/cm), ultra-silicon-rich nitride (USRN, refractive index equal to 3.1 at 1550 nm) emerged as a promising platform for the development of highly compact nonlinear devices operating at low optical power levels, thanks to its nonlinear refractive index being two orders of magnitude greater than that of stoichiometric Si3N4 [36,43,44,45,46,47]. Table 2 summarises the main features of several Si-rich SiN platforms, with different refractive indices, demonstrated in the literature. In the following subsections, we report the most recent developments in Si-rich SiN-based nonlinear optics, focusing our attention on some specific examples showcasing the flexibility of this material platform for the design of nonlinear devices, manifested by the tunability of its linear and nonlinear optical properties.
Table 2. Comparison of different Si-rich SiN platforms.

3.1. Tunable Nonlinear Optical Properties

We review here a comprehensive experimental study to show the dependence of the nonlinear optical properties on the Si-rich SiN material composition [39]. Specifically, the nonlinear performance of three layers deposited on a thermal SiO 2 substrate with different refractive index was investigated, whose properties are summarised in Table 3. Fully etched waveguides with different widths (in the range 0.5–1.5 μ m) were written onto the different layers and characterised in the measurement campaign. Light coupling with optical fibres was achieved by means of grating couplers (GCs) and tapered edge-couplers (ECs).
Table 3. Characteristics of the deposited Si-rich SiN layers used for the nonlinear performance study. Reproduced from [39] under a CC BY 4.0 license.
The third order nonlinear response of an optical waveguide can be generally expressed in terms of the nonlinear parameter γ :
γ ( ω ) = ω n 2 c A e f f + i β T P A 2 A e f f
where ω is the angular frequency, n 2 is the nonlinear refractive index, c is the speed of light, A e f f is the effective area and β T P A is the two-photon absorption (TPA) coefficient. The real part of Equation (1) (also known as R e γ ) describes the Kerr response of the optical waveguide and introduces a nonlinear phase shift to the propagating light. The imaginary part of the Equation (also known as I m γ ) represents the TPA response, that accounts for the power-dependent nonlinear losses that the optical signal undergoes during propagation. The values of R e γ for the different Si-rich SiN layers were experimentally measured by using a continuous-wave (CW) four-wave-mixing (FWM)-based scheme [39], whose experimental setup is shown in Figure 5a. A pump laser (wavelength λ 1 = 1550.11 nm, optical power ranging from 20 mW to 2 W at the waveguide input GC) was amplified by a polarisation-maintaining Erbium-doped fibre amplifier (PM-EDFA) and then was sent to an optical band pass filter (BPF) to filter out the EDFA-generated amplified spontaneous emission (ASE) noise. A 50:50 fibre coupler was employed to combine the pump with a weaker CW optical signal (the signal optical power was always kept at least 10 dB below the pump power level) generated by a tunable external cavity laser (ECL). GCs were used to couple the two optical waves into the waveguides under test. A FWM-induced idler at a new frequency was generated in the waveguide and the three signals were coupled back to a PM fibre by means of an output GC. By recording optical spectra for different pump power levels, the R e γ coefficients of the fabricated waveguides were derived using the following equation:
R e γ = P i ( L ) / P s ( L ) η P p ( 0 ) L e f f
where P i ( L ) and P s ( L ) are the idler and signal optical powers measured at the waveguide output, respectively, P p ( 0 ) is the pump power at the waveguide input, L e f f is the nonlinear effective length and η accounts for the phase-mismatch resulting from chromatic dispersion [49]. In the considered case, since the signal and pump waves were placed relatively close to each other in wavelength ( Δ λ < 0.15 nm), the effect of dispersion can be neglected and it is therefore possible to consider η = 1.
Figure 5. (a) CW-FWM experimental setup. BPF: bandpass filter; EDFA: Erbium-doped fibre amplifier; OSA: optical spectrum analyser. (b) Experimentally measured R e γ for each waveguide geometry, on each Si-rich SiN layer. Reproduced from [39] under a CC BY 4.0 license.
The results of the nonlinear measurement campaign are reported in Figure 5b. It can be seen that layers 02 and 03 show around an order of magnitude greater nonlinear Kerr response than layer 01. The reason for this enhancement can be found in the increased material nonlinearities resulting from the presence of additional Silicon in the material composition. Specifically, by increasing the silicon concentration, the linear refractive index of the material increases and this is accompanied by an increase in the nonlinear Kerr coefficient as well, in line with the empirical rule developed by Miller [50].
The imaginary part of the nonlinear coefficient, I m γ , was then measured by means of pulse-transmission experiments [39,51]. A fibre mode-locked laser centred at 1550 nm (pulse duration: 0.5 p s ; repetition rate: 20 MHz) was employed as the light source. Unlike the previously described CW experiments, the light was coupled in and out of the integrated waveguides by using tapered ECs, in order not to impose any spectral distortion on the laser pulses. The TPA coefficients β T P A of the various Si-rich SiN layers were estimated by measuring the average power at the waveguide output as a function of the input peak power. Under the assumption of a hyperbolic-secant pulse temporal profile (which is the nominal pulse shape of the optical source used in these experiments), the average output power P ( L ) a v g can be expressed as a function of the input peak power P ( 0 ) p e a k through the following equations [51]:
P ( L ) a v g = l n ( σ + σ + 1 ) σ ( σ + 1 ) P ( 0 ) a v g e α L
σ = β T P A A e f f L e f f P ( 0 ) p e a k
where P ( 0 ) a v g is the average input power, α is the propagation loss coefficient and L is the waveguide physical length. TPA coefficients β T P A (and hence I m γ ) for the three Si-rich SiN layers were derived using Equations (3) and (4) and the measured values for three different waveguide widths (500, 700 and 1000 nm) are reported in Table 4.
Table 4. I m γ coefficients measured by means of pulse-transmission experiments for different Si-rich SiN layer compositions and different waveguide widths. Reprinted, with permission, from [41], ©2019 IEEE.
The experimental results showed that both layers 01 and 02 were not significantly affected by TPA-related losses, even when relatively high optical power levels (>10 W peak power) were employed. Conversely, when the silicon content in the material was further increased (as in the case of layer 03), TPA-related effects started to appear, suggesting that this Si-rich SiN composition was not suitable for high-power low-loss applications.

3.2. FWM-Based Frequency Conversion in Multimode Si-Rich Silicon Nitride Waveguides

The discussion in the previous section has shown that Si-rich SiN layers can be properly engineered to obtain a high Kerr nonlinear response with no TPA-related losses. This allows waveguides to be operated at Watt-power levels, unlike silicon itself, which is strongly limited by TPA-related effects.
In this configuration, the two pumps were placed into the TE00 mode of the waveguide, while the signal and the generated idlers were in the TE10 mode. As in any FWM-based process, efficient nonlinear conversion takes place only when the phase-matching condition among the different waves is satisfied. In particular, efficient IM-BS-FMW can be achieved over a wide bandwidth whenever the inverse group velocity curve of one mode is a frequency-shifted replica of the other mode [52]. The propagation properties of the two modes were engineered by acting on two parameters: the waveguide cross-section and the refractive index of the core Si-rich SiN material. As shown in Figure 6b, the phase-matching condition between the TE00 and TE10 modes can be satisfied over a large bandwidth by considering λ T E 00 = 1550 nm and λ T E 10 = 1601 nm. Moreover, this waveguide design can be used to efficiently suppress one idler in order to have a unidirectional FWM process. In fact, one limitation of the BS-FWM mechanism is its bidirectionality, which can result in the generation of unwanted idlers at new frequencies [53,54]. Numerical simulations confirmed that by setting P 1 at a fixed wavelength equal to 1550 nm and changing the pump-to-pump separation Δ ω by varying the position of P 2 , it is possible to achieve a constant conversion efficiency for I B S , r for a large P 2 detuning range (>50 nm). Conversely, the generation of I B S , b is hindered in this scenario, and it takes place only over a narrow Δ ω range [40]. A measurement campaign was also carried out, whose results are shown in Figure 6c. The wavelengths of the two pumps were initially set at λ P 1 = 1550 nm and λ P 2 = 1551 nm, while the signal wavelength was set at λ S = 1601.5 nm. The IM-BS-FWM conversion efficiency was measured for I B S , r and I B S , b by gradually detuning λ P 2 towards longer wavelengths. As predicted by numerical simulations, the conversion efficiency of I B S , r remained constant at a value of around 15 dB across a large λ P 2 detuning range, while I B S , b was no longer detectable for a P 2 detuning value equal to 20 nm.
Figure 6. (a) Operation principle of the dual-pump IM-BS-FWM process: energy is transferred directly from the signal (S) to two idlers ( I B S , r and I B S , b ) and between the two pumps ( P 1 and P 2 ). (b) Numerically simulated group index curves for a Si-rich SiN waveguide (n = 2.54 at 1550 nm), with the waveguide cross-section shown in the inset. (c) BS-FWM efficiency measured as a function of pump P 2 detuning for the intermodal and intramodal configurations. Reproduced from [40] under a CC BY 4.0 license.

3.3. Nonlinear Optics in Ultra-Silicon-Rich Nitride Platform

Ultra-Silicon-rich Nitride (USRN) is typically grown at a low temperature ( 250 °C) using inductively coupled chemical vapour deposition and is characterised by a high silicon content compared to nitrogen (Si 7 N 3 ), with a refractive index equal to 3.1 at 1550 nm [36,43]. The nonlinear optical properties of this material were characterised by open and closed aperture z-scan measurements [55]. A nonlinear refractive index n 2 equal to 2.8 × 10 17 m 2 / W was measured at 1550 nm, which is 100× larger than the n 2 value of stoichiometric Si3N4 [56,57] and more than 10× larger than the n 2 value of all the other Si-rich SiN platforms reported in the literature (see Table 2 for comparison). This allows the realisation of highly efficient and compact nonlinear devices, with a total waveguide length shorter than 1 cm, operating at low optical power levels. However, the high silicon concentration makes it particularly challenging to achieve low propagation losses compared to the case of Si-rich SiN compositions with lower refractive indices. Open aperture z-scan measurements showed that TPA is absent in the C-band, with three-photon absorption being the dominant contributor to nonlinear losses. The high linear and nonlinear refractive index of USRN allows one to achieve high values for the waveguide nonlinear parameter ( R e γ equal to 500 W 1 m 1 for a waveguide cross-section of 0.30 × 0.55 nm 2 [43]), making it possible to obtain large nonlinear conversion/amplification at relatively low pump power levels [36]. For example, an optical parametric gain of 42.5 dB was demonstrated using a pulsed pump and a CW signal by degenerate-FWM in a high-optical-confinement USRN waveguide ( 4.5 dB/cm propagation losses) operating in the anomalous dispersion regime in the 1550 nm wavelength region [43]. Cascaded FWM with gain down to the third generated idler was also observed, with peak parametric gains measured for the first, second and third idlers equal to 36.2, 21.2 and 7.7 dB, respectively. A further enhancement of the material nonlinearity was achieved by exploiting the slow-light effect in USRN photonic crystal waveguides, with the demonstration of an optical parametric gain per unit length of 333 dB/cm [58]. In this study, FWM experiments were carried out with a pulsed pump and a CW signal in a 97 μ m long USRN photonic crystal waveguide (22 ± 2 dB/cm propagation losses in the slow light region) with a measured optical parametric signal gain and idler conversion efficiency of 3 dB and 1 dB, respectively. The nonlinear efficiency of the USRN material was also proven in the observation of temporal soliton dynamics, specifically in the soliton-effect temporal compression and fission of optical pulses [48,59]. High-order solitons are generated in optical media characterised by the simultaneous presence of anomalous dispersion and nonlinearity, and they evolve periodically during propagation, experiencing a temporal narrowing at the beginning of each soliton period. This property can be used to realise strong temporal compression of optical pulses, which allows the generation of ultrashort pulses and the increase of the pulses’ peak powers. Then, the high-order soliton compression process was exploited to achieve a 8.7× temporal compression of 2 p s optical pulses using a low pulse energy equal to ∼16 p J in a 7 mm long USRN waveguide (characterised by 3 dB/cm propagation losses) [48]. To the best of our knowledge, this is the largest soliton-effect temporal compression demonstrated on an integrated photonic waveguide to date. Wideband nonlinear spectral broadening of input fs laser pulses based on self-phase modulation was also demonstrated in USRN add-drop ring resonators (broadening factor of two) and waveguides (broadening factor of around three per 1 mm length) [46]. More recently, thermo-optically tunable nonlinear spectral broadening was reported using an USRN device consisting of a 3 mm long cladding-modulated Bragg grating and a 7 mm long channel waveguide. An increase in the bandwidth of the output pulse spectrum from 69 to 106 nm was measured by decreasing the temperature from 70 °C to 25 °C [60]. Wide supercontinuum generation exceeding 0.6 of an octave at 1550 nm was also demonstrated in a 7 mm long USRN waveguide using 500 fs optical pulses [61].
While several demonstrations of FWM-based nonlinear applications were reported in USRN waveguides using pulsed pumps, the propagation losses of this platform are still too high to allow efficient CW-FWM experiments in centimetre-long waveguides. Opportunities to further improve this material platform and reduce the propagation losses exist, such as the adoption of established protocols for low-loss Si3N4 waveguide fabrications such as high temperature annealing or the use of the photonic Damascene reflow process. In order to reduce Si-H and N-H bonds-related losses, recipes that make use of alternative gases other than silane could be investigated. For example, the use of dichlorosilane gas (SiH 2 Cl 2 ) already showed promising results towards a further optimisation of this material platform [62,63]. The reduction of the propagation losses in combination with the large Kerr coefficient of the USRN platform could allow the demonstration of efficient CW-operated phase-sensitive parametric amplifiers and frequency combs with sub- μ W threshold power.

4. High-Speed Modulators on the Silicon Nitride Platform

Optical modulators are essential devices used to imprint an electrical signal on an optical signal by means of an optical carrier. Modulation mechanisms can be categorised as: electro-optic (EO) [64], magneto-optic (MO) [65], thermo-optic (TO) [66] and acousto-optic (AO) [67].
On-chip high-speed optical modulators have been developed since 2004 by Lipson et al. [68] and Liu et al. [69], achieving critical milestones towards unlocking the potential of silicon for optoelectronic applications. This was further refined to achieve modulation speeds of up to 50 Gb/s by employing carrier-depletion-based pn-junction structures [70,71,72]. Nonetheless, with the emergence of SiN as a complementing platform to the functionality of silicon photonics, the need for high-speed, low-power optical phase modulation has shifted from one platform to the other. Considering the dielectric properties of SiN, optical modulation through charge carriers is not possible [73]. Thus, the heterogeneous integration of materials with native EO properties on SiN is a necessary route to obtain modulation. These materials should preferably be compatible with back-end-of-line (BEOL) integration to enable multilayer processing of a number of different materials. Such materials can be electro-optic polymers (EO-polymer) [74,75], lithium niobate (LiNbO 3 ) [76,77], barium titanate oxide (BTO) [78,79], electro-optic lead zirconate tantanate (PZT) [80], 2D materials such as graphene and transition metal dichalcogenides (TMDs) and transparent conducting oxides (TCOs) [81] (Figure 7). The review of the heterogeneous integration of materials enabling the formation of high-speed optical modulators is presented in the following sections.
Figure 7. (a) Three-dimensional schematic of EO-polymer integrated in SiN-SiON platform; reproduced from [82] under a CC BY 4.0 license. (b) Schematic of the tunable hybrid SiN-LN microring resonator; reprinted with permission from [83], ©2019 The Optical Society. (c) Top view of hybrid BTO-SiN racetrack resonator; reproduced with permission from [84], ©2019 American Chemical Society. (d) Schematic cross-section of the hybrid waveguides based on graphene capacitors and top view of a microring resonator partially covered by a graphene capacitor; reproduced from [85] under a CC BY 4.0 license. (e) Schematic of Si 3 N 4 ring resonator covered with monolayer-MoTe 2 ; reprinted with permission from [86], ©2021 American Chemical Society.

4.1. Electro-Optic Polymer-Based Phase Change Modulators

Optical modulators based on the integration of EO-polymers with SiN waveguides provide flexibility and simplicity for BEOL fabrication [10,87]. Therefore, a number of research works on EO-polymer modulators based on SiN have been proposed and demonstrated over the past decade. Nevertheless, the lower index contrast of SiN compared with Si resulted in a challenge linked to obtaining an optimum trade-off between modulation efficiency and low absorption loss, due to the interaction of the optical mode with the electrodes. In the initial state of EO-polymers, chromophores are naturally random align. Thus, its Pockels coefficient is zero as deposited and requires an activation process described as polling for the modulation purpose. The polling of EO-polymer can be achieved by applying a strong electric field through the polymer in the region surrounding the modulating waveguide whilst the polymer is heated with the temperature close to the glass transition temperature. To simplify the process, a polling process is generally performed by using the device modulation electrodes [88,89]. An in-plane electrode, where the electrodes are located on the waveguide plane, is therefore preferred in order to greatly reduce the complexity of fabrication [90]. The overlap of the mode confined in the waveguide with the polymer and the strength of the electric field all play an important role in the performance of the device, which can be extracted by calculating an overlap integral factor of the optical power in the polymer or overlapping factor of the optical field and modulation electric fields within the polymer [91,92,93]. Thus, the research and study on the design of electrode are of interest for the EO-polymer modulation.
The use of EO-polymers in optical modulators has been developed for more than a decade. The characteristic of modulators based on EO-polymers including the waveguide design, frequency of modulation and figure of merit have been summarised in Table 5. It can be seen from the literature that EO-polymer modulators based on SiN waveguides (900 V·cm) have a higher V π · L compared with the Si based waveguide (0.008 V·cm) approach. To improve the performance of EO-polymer modulators based on SiN waveguides, the design of SiN slot waveguides and the space-charge effect of the silicon substrate have been studied and simulated in 2021 [94]. The result in this work shows that by controlling the polling process and the mode confinement, a minimum V π · L of 1.47 V·cm can be achieved.
Table 5. The characteristic comparison of fabricated EO-polymer modulators based on SiN waveguide.

4.2. Lithium Niobate Modulators

Traditional electro-optic off-the-shelf optical phase modulators used in long-haul telecom applications are based on in-phase/quadrature (IQ) electro-optic modulators that encode information in the phase and amplitude domain [97]. The fundamental building block of such IQ modulators are the optical phase modulators based on lithium niobate (LN) as they feature a relatively strong Pockels effect EO coefficient tensor of 30.8 pm/V [98], broadband operation with a wide transparency window (0.4–5 μ m), excellent chemical and mechanical stability and a low thermo-optic coefficient of 10 5 [99]. Classic modulation waveguiding structures are based on titanium diffusion of LN wafers and annealed proton exchange, which create a low index contrast (0.02), resulting in a weak optical confinement and high bending radius. This prevents high photonic circuit integration, resulting in devices with a length in excess of 3 cm to achieve 2 π phase modulation [100,101]. With the emergence of new fabrication techniques such as single-crystal LN films formed by crystal ion slice [102] and the development of platforms such as LN on insulator (LNOI) offering a high index contrast in the range of 0.7, bending radii below 20 μ m are now possible [103].
Hybrid integration of the LNOI platform with SiN is an attractive process as opposed to the monolithic LNOI platforms, in which the waveguiding structures are defined by the direct etching of LN, resulting in slanted waveguides and no CMOS compatibility [104]. Recently, remarkable progress has been achieved in the SiN/LNOI hybrid platform. Ahmed et al. demonstrated a high-efficiency modulator with a 3 dB bandwidth of 30 GHz and an ER of 27 dB using a strip-loaded waveguide configuration [83]. Similarly, a racetrack resonator configuration has shown improved performance over its ring resonator (RR) counterpart due to the change in crystal direction along the optical waveguide path, achieving 2.8 pm/V tunability and an intrinsic Q-factor of 1.3 × 10 5 [105]. Nonetheless, the refractive index of stoichiometric Si3N4 is lower than that of LN, which results in a high optical confinement in the LN layer and a high bending radius. To solve this, Huang et al. [106] demonstrated the integration of Si-rich SiN-films into LNOI, showing a wide range of devices including a Mach–Zehnder modulator in push–pull configuration capable of high-speed modulation of up to 120 GBaud without digital compensation and a 3 dB bandwidth of 100 GHz.
Despite the promising recent results, the nature of LNOI wafers falls behind foundry-level silicon nitride photonic wafers sizes (200–300 mm) because no epitaxial deposition process or monolithic integration is available and, as a result, heterogeneous integration of such EO materials is seen as the mainstream path of functionalising this platform. Most commonly, deployed in Si photonics, the bonding of thin-film LN-fabricated wafers to SiN waveguides has also been demonstrated [27,107] opening a wide range of chip-level nonlinear applications [108]. The lack of a carrier effect in the SiN-platform results in the lack of active photonics devices, thus cointegration of multiple materials is required [109]. One of the promising technologies to realise this is microtransfer printing which allows for the integration of micrometre-sized material sections or devices processed separately on the same die [110]. Vanachere et al. have shown the successful integration of LN with LPCVD SiN-deposited thin layers, owing to a half-wave voltage-length product of 5.5 V·cm and insertion losses of 7 dB [111]. Despite the technical challenges associated with the integration of LN with SiN, it can enable applications such as LiDAR [112] and quantum computing [113].

4.3. Barium Titanate Oxide (BTO) Modulators

Improving the performance of optical phase shifters, switches and modulators is of paramount importance for the realisation of neuromorphic computing circuits [114]. The heterogeneous integration of materials such as electro-optic polymers, LN and PZT has previously been explored, albeit each having problems such as temperature degradation [115] and a large footprint as a result of a relatively small EO coefficient. Recently, the re-emergence of BaTiO 3 (BTO), grown using molecular beam epitaxy and wafer bonding fabrication processes on silicon substrates, has shown a very large Pockels coefficient in excess of (900 pm/V) [116]. The limiting factor of BTO EO devices is the high optical propagation losses ranging from 40 to 600 dB/cm caused by the absorption of the hydrogen-rich thin layer of STO, which, once it is annealed, has shown propagation losses as low as 6 dB/cm. Nonetheless, silicon nitride ridge waveguide ring resonator structures have been demonstrated by Ortmann et al., showing a modulation efficiency of 0.3 V·cm, an extrapolated power consumption of 100 n W /FSR and the ability to electrically tune the effective refractive index in the order of 10 3 which is capable of compensating for unavoidable fabrication imperfections [84]. This results in a combination of ultralow-power refractive index tuning via the Pockels effect of the BTO, low optical losses of SiN thin films ( 1 dB/ m ) and a wider transparency window, encompassing visible wavelengths when compared to similar BTO-Si waveguide platforms. Lastly, the benefit of integrating SiN thin films in BTO is the lack of mobile charge carriers due to the insulating nature of SiN, which can negatively impact the EO performance of the modulator [117]. While there has been a limited number of devices demonstrated on the SiN platform, they hold the potential to enable applications such as neuromorphic systems [118,119,120,121,122], the capabilities of which have so far been mostly limited by the power consumption and footprint needed for active tuning.

4.4. Modulators in 2D Materials

The need for a higher bandwidth and lower optical loss has led to significant research efforts beyond silicon photonics, directed at creating compact, cost-effective and fast light modulation devices [123]. In recent years, graphene and 2D materials (transition metal dichalcogenides (TMDs) and black phosphorus) have attracted increasing attention due to their controllable electronic and optoelectronic properties that can enable new conceptual applications for photonic integrated circuits based on Si and SiN material platforms [124]. Two-dimensional materials exhibit functional properties such as a broadband spectral range, high nonlinearity and strong light–matter interaction and have been previously fabricated using methods such as mechanical exfoliation [125], liquid exfoliation [126] and by chemical vapour deposition (CVD) [127].

4.4.1. Graphene

Graphene consists of a flat monolayer of carbon atoms placed in a two-dimensional (2D) honeycomb lattice structure and has been intensely studied since 2004 when it was firstly introduced by Novoselov et al., due to its controllable electronic and optoelectronic properties [128]. The electroabsorption optical transition in graphene can be controlled by tuning by shifting the electronic Fermi level from the Dirac point [129]. Graphene-based waveguide-integrated optical modulators possess several key advantages such as: broadband operation, high speed operation and compatibility with CMOS processing [130].
In 2013, a hybrid structure using a monolayer of graphene on top of a partially etched SiN waveguide was demonstrated showing a mode power attenuation (MPA) as low as 0.066 dB/ μ m [131], as well as the demonstration of building blocks such as RRs and Mach–Zehnder interferometers (MZIs) showing excellent broadband operation and 40 dB extinction ratios. Later in 2015, an RR-based electro-optic modulator (EOM) using graphene SiN was demonstrated [132], showing a 30 GHz bandwidth with modulation efficiencies of 1.5 dB/V. Furthermore, Abdollahi Shiramin et al. theoretically proposed a double-layer graphene modulator integrated either on top or within a silicon nitride waveguide core and predicted MPAs of 0.12 dB/ μ m and 0.026 dB/ μ m , respectively, with a 23 dB extinction ratio and 2.5 GHz modulation bandwidth [133]. Similarly, a four-graphene-layer-based electroabsorption modulator (EAM) based on double-stripe SiN waveguides has also been investigated by Meiyong Fan et al., showing a high modulation bandwidth of 30.6 GHz, with a switching voltage of 3.818 V and a power consumption of 780.5 fJ /bit [134]. The bandwidth of such devices can be extended further by tuning the SiN layer refractive index. Using this approach, Faneca et al. have shown a silicon rich double-layer graphene EAM design based on RRs with bandwidths exceeding 62.41 GHz, an extinction ratio of 16.5 dB and energy consumption of 0.3 p J /bit [85].
Attractive features of optical phase modulators such as polarisation insensitivity has also been explored [135], with a reported 3 dB bandwidth of 101 GHz, a power consumption of 271 fJ /bit and a modulation length of 20 μ m . Following the demonstration of single high-performance devices, graphene can therefore be integrated to SiN to serve a wide range of applications, for cryogenic operations [136], ultrahigh data rate (Tbit/s) transmission [137] and beam steering architectures for LiDAR [138].

4.4.2. TMDs

Monolayer group VI TMDs are composed of a single layer of semiconductors and two layers of chalcogen atoms placed in a trigonal crystal structure [139]. Intrinsically, the limiting factor of graphene is the lack of an energy bandgap which limits its applicability to applications where a semiconductor behaviour is required. The bandgaps of TMD monolayers are found in the visible to near-infrared optical spectrum regions [140], which makes these monolayers promising materials for efficient emission, modulation and detection on-chip, complementing waveguiding platforms with a compatible transparency window such as SiN.
The interfacing of MoS 2 on the SiN platform was demonstrated by Guohua Wei et al. in 2014, showing evanescent coupling from the RR optical mode to the monolayer with an absorption of 850 dB/cm [141]. Yang. et al. have reported an all-optical modulator capable of achieving ERs of up to 10 dB in the visible range using a WS 2 layer and a pump light of 532 nm, associated with a probe emitting at 640 nm [142]. Optical modulation in the NIR on a SiN RR has also been achieved by Ipshita Datta et al. using a doped WS 2 monolayer by gating ionic liquid, resulting in carrier densities of up to 7.2 ± 0.8 × 10 13 cm−2, high modulation efficiencies (V π L ) of 0.8 V·cm, a 3 dB modulation bandwidth of 0.3 GHz, along with a FOM ( Δ n/ Δ k) of 125 [143]. The gating-induced electrorefractive effect has also been extended to MZIs, showing similar modulation performance to RRs as well as the use of other TMDs such as MoS 2 in a parallel plate capacitor configuration with a modulation efficiency of 0.88 V·cm. An order of magnitude modulation efficiency improvement has recently been achieved by Zexing Zhao et al., using a wet transfer method on MoS 2 layers showing a V π L of 0.09 Vcm and a tuning efficiency of 5.8 pm V 1 [144]. Other TMDs such as molybdenum ditelluride (MoTe 2 ) have also been integrated in damascene-fabricated SiN thin-films [86], with devices such as RRs showing Q-factors of 3 × 10 6 over the O and E telecommunication bands.

4.5. TCO-Based ENZ Modulators

Modulation technologies based on transparent conducting oxides (TCOs) in the epsilon-near-zero (ENZ) regime are also potentially compatible with the silicon nitride platform (SiN). TCOs constitute a class of materials that includes indium tin oxide (ITO), aluminium- and gallium-doped zinc oxide (AZO and GZO), along with other less common oxides. These materials combine transparency at visible wavelengths and high electrical conductivity [145]. Because of these properties, TCOs have already been applied to LCD and OLED displays [146] and touchscreens [147], and research is being conducted on their use in next-generation photovoltaic devices [148,149,150]. These materials can also be used to create efficient absorption-based optical modulators. By exploiting the tunability of their plasma frequency, their permittivity can be changed from a positive to a negative value, resulting in a transition between semiconductor-like behaviour and metal-like behaviour. TCO-based ENZ modulators are usually implemented by creating a carrier accumulation at the interface between the TCO and a dielectric, when a voltage bias is applied.
The thickness of the accumulation layer, where the effect of the change in permittivity on absorption is highest, is usually limited to less than one nanometre [151,152]. The overlap of the optical mode with this region is therefore one of the main factors that determine the overall performance of the device. For this reason, ENZ modulators usually need to be combined with plasmonic waveguides, which enhance the confinement of the mode and decrease its effective mode area. This type of modulator can theoretically achieve very high speeds (up to terahertz) [153], compact footprint (down to less than one micron) [154] and generally good performance [155,156]. However, most fabricated devices have only been able to operate at much lower speeds, mostly in the kilohertz range [157,158]. Thanks to improvements in design and fabrication processes, speeds of a few gigahertz have been proven to be possible, maintaining a footprint of less than 10 μ m [81]. This result makes ENZ modulators a promising solid-state monolithic alternative to other modulators that are compatible with the SiN platform. This compatibility is guaranteed by the fact that modulation only occurs in the TCO layer, and the results are almost independent from the material that forms the waveguides, once the optical mode is converted into a plasmonic mode and coupled into the active region. To the best of our knowledge, TCO-based modulators have not yet been tested on the silicon nitride platform. However, the feasibility of integrating TCO layers and SiN has been proven for different applications [159,160], and simulated modulators show a similar behaviour to those integrated into the standard SOI platform [161,162].

4.6. Summary

To conclude, Table 6 provides a summary of the typical optical modulation parameters such as modulation bandwidth, modulation efficiency and optical loss of different modulation technologies associated with SiN photonics. When compared to the other modulators, the 2D materials provide the best modulation efficiency with reported values as low as 0.09 V·cm. Whereas the Si-rich SiN-LNOI MZI show a modulation bandwidth up to 100 GHz with sub-dB/cm optical losses.
Table 6. State-of-the-art performance matrix for the fabricated high-speed modulators in the SiN platform. The table includes reported results for C- communication band.

5. Nonvolatile Photonics and Trimming

Reconfigurable photonic integrated circuits [163,164] have gained tremendous interest in different areas such as neuromorphic computing [114,165], microwave photonics [166,167,168], quantum systems [169,170,171,172] and field-programmable photonic gate arrays (FPPGAs) [173]. The concept of low-power to zero-power reconfigurability requires nonvolatile materials enabling amplitude or phase modulation with low-power consumption. In this section, two different approaches to target nonvolatile photonic building bocks using a silicon nitride waveguide are presented: (i) phase change materials and (ii) postfabrication laser trimming.

5.1. Phase Change Materials

Phase change materials (PCMs) are one of the main candidates for nonvolatile reconfigurable photonic integrated circuits as they show a high refractive index or high absorption contrast in their optical properties. Taking advantage from the rewritable optical media and resistive memories, the mature PCMs technology is seen as a route for complementing the CMOS-compatible silicon nitride photonic process [174] to achieve nonvolatile integrated systems. PCMs allow the possibility of switching between two principal states, an amorphous and a crystalline phase, but intermediate states of crystallisation are also feasible. PCMs demonstrate long-term stability at room temperature [175,176,177] with cyclability in the order of 10 15 [178,179,180]. The PCM switching times oscillate depending on the material and the switching mechanism selected (optical or electrical); the fastest reports are in the order of nanoseconds or less [181,182].
The pseudo-binary phase change material Ge2Sb2Te5 (GST) is one of the main nonvolatile materials, and is commonly employed in various silicon-based applications, such as optical switches [183,184], memories [185,186,187], wavelength division multiplexers [188] and optical neural networks [189]. In 2015, for the first time, Ríos et al. demonstrated that eight transmission levels could be obtained by switching a GST cell on SiN waveguides [185]. The switching was attained by evanescent coupling between the GST cell and the light travelling along the waveguide as a result of optical pumping of the GST cell. This work also showed wavelength-selective operation at three different wavelengths, using microring resonators of various radii. Recently, this multilevel photonic memory has been improved and is now capable of storing up to 34 nonvolatile reliable and repeatable levels (around 5 bits) [190], see Figure 8a. Analogous to the work developed by Ríos et al. [185], exploiting the evanescent part of the optical mode which goes along a SiN waveguide and interacts with the deposited GeTe PCM on top of the waveguide [191], reversible phase modifications between amorphous and crystalline states were demonstrated in [192], showing changes in both the optical transmission and the resistance of the nanowire, see Figure 8b. Moreover, a fully addressable memory cell in both electrical and optical domains was first shown in [184] as a result of a successful combination of GST with a partially etched SiN rib waveguide-integrated plasmonic nanogap, Figure 8c. The plasmonic nanogap was formed between two metal electrodes, where a thin film of GST bridged the nanogap to control optical transmission and electrical resistance of the device, depending on the different states of GST.
GST was also integrated onto a SiN photonic crystal nanobeam cavity, enhancing the contrast and decreasing the energy consumption compared to the conventional waveguide design due to the larger group index of the cavity [193], see Figure 8d. In [194], the thermo-optic coefficients of the crystalline and amorphous state of GST were extracted, both the real and imaginary parts. In [195], the central element of an all-optical calculator, a photonic abacus was demonstrated, Figure 8e. Later on, a tensor core capable of operating at speeds of order 10 12 of multiply–accumulate operations per second with a bandwidth exceeding 14 GHz was demonstrated in [196], exploiting SiN waveguides with GST as on-chip matrix multiplication. In [197], a broadband photonic tensor core with integrated ultralow crosstalk wavelength multiplexers was shown, as seen in Figure 8f. This approach proves simultaneous computing and data transfer at speeds comparable to fibre networks, overcoming the drawbacks from the analogous approaches which were lacking compared to the nonvolatile PCM-based memories [189,198,199].
The advantages and disadvantages of integrating GST on both the Si and SiN platforms in the C- (1530–1565 nm) and L- (1565–1625 nm) bands were precisely studied in [200]. While different PCM-based silicon and SiN building blocks have generally been evaluated in the C-band [188,201], Faneca et al. first evaluated the optical performance of an N-rich silicon nitride Mach–Zehnder interferometer (MZI) based on GST in the O-band for optical communications and reported a satisfactory extinction ratio of 11 dB between the amorphous and the crystalline states of the MZI [202]. Later, they also compared and investigated the optical properties of GST-based silicon nitride straight and RR waveguides in the O- and C-bands [187]. In the case of the straight waveguides, when the GST cell was switched between the two states, the researchers observed a high transmission contrast of 2.5 dB/ μ m in the C-band and 6.4 dB/ μ m in the O-band. Furthermore, high quality factor resonances (Q = 10 5 ) were reported in both bands as a result of the GST deposition onto the RR waveguides.
Although GST is the material commonly used for nonvolatile PCM absorption modulation (amorphous phase GST 225: 0.039 dB/ μ m and crystalline phase: 2.7 dB/ μ m at 1550 nm [187]), it should be stated that GST is just one of the PCM materials available for nonvolatile photonic applications [191]. In fact, new PCMs with interesting optical properties are also emerging to complement GST [203]. As a result of the addition of selenium to GST, the component Ge2Sb2Se4Te1 (GSST) presents a moderately low-loss alternative to GST for different PCM-based building blocks. However, both GST and GSST still show a relatively high loss (extinction coefficient) when switched optically [203,204]. In [204], a reconfigurable and nonvolatile Bragg grating based on the combination of GSST with a SiN platform was proposed in the C-band and a Bragg resonance shift up to 15 nm, accompanied with a large amplitude modulation (insertion loss of 22 dB) was reported, see Figure 8g.
By taking advantage of a novel family of low-loss PCMs, which includes Sb2S3 and Sb2Se3 [205], a SiN Bragg grating design was also explored with Sb2S3, demonstrating low losses in both states and producing a 7 nm red-shift in the Bragg wavelength [204], see Figure 8g. Both Sb2S3 and Sb2Se3 exhibit lower inherent losses compared to GST and GSST, since their extinction coefficient is less than 10 4 in both states at 1550 nm and at 1310 nm [10,206]. The low optical loss and the optical phase modulation of Sb2S3 were investigated by Dong et al. onto the SiN platform at 750 nm [203], showing the potential of the PCM broadband material together with a silicon nitride platform in applications such as, postfabrication trimming, large-scale integrated quantum photonic networks and optical field-programmable gate arrays (FPGAs) in the visible range of the spectrum. In [207], low-loss PCMs (Sb2S3 and Sb2Se3) were integrated with MZI building blocks based on a SiN platform, see Figure 8h, to experimentally demonstrate the advantages of using this platform integrated with PCMs in both the O- and C-bands, in comparison with a SOI platform [208]. This experiment demonstrated a low insertion loss of around 0.04 dB/ μ m for Sb2S3- and 0.09 dB/ μ m for Sb2Se3-integrated devices in both amorphous and crystalline states. The change shown in the effective refractive index for Sb2Se3 was 0.03 at 1310 nm and 0.05 at 1550 nm, whereas for Sb2S3, it was 0.05 at 1310 nm and 0.02 at 1550 nm.
For switching higher volumes of PCM to achieve a higher amplitude or phase modulation, electrical switching with external heaters is required due to the high switching power needed in the optical domain for recrystallisation [186,206] and due to crystallisation filamentation and nonhomogeneous heating in the classical electrical switching [209]. Because silicon can be easily doped, the design of silicon-based heaters for nonvolatile photonic integrated circuits have been demonstrated in [210]. In a silicon nitride platform, doping the dielectric layer to use it as a heater is nontrivial and requires a high amount of implantation to obtain a tiny effect on the layer [211,212], making this option a difficult solution. To overcome this drawback, Fang et al. proposed the implementation of a layer of indium tin oxide (ITO) as an external transparent heater to switch the PCM phase between different states on SOI-based ring resonators [203], achieving an extinction ratio of over 30 dB near 1550 nm, proving the compatibility of ITO as a heater for future PCM-based SiN building blocks. Lately, graphene heaters are emerging as a possible solution to control the PCM temperature in photonic integrated circuits [213,214,215], see Figure 8i. Even though a relatively large amount of progress has been achieved in ITO and doped silicon-based heaters, graphene can reduce the switching energy and increase the speed maintaining a relatively low loss and the compatibility with the SiN platform [214].
Figure 8. (a) Illustration of an all-optical nonvolatile photonic integrated memory based on GST; reproduced from [190] under a CC BY 4.0 license. (b) GeTe-based nanowire on a SiN waveguide; reprinted with permission from [192], ©2016 American Chemical Society. (c) A combination of GST with partially etched SiN rib waveguide-integrated plasmonic nanogap; reproduced from [184] under a CC BY 4.0 license. (d) GST-based SiN photonic crystal nanobeam cavity; reprinted with permission from [193], ©2018 American Chemical Society. (e) Image of an all-optical calculator, a photonic abacus; reproduced from [195] under a CC BY 4.0 license. (f) SiN waveguides with GST acting as on-chip matrix multiplication as part of a computationally specific integrated photonic hardware accelerator (tensor core); reproduced from [197] under a CC BY 4.0 license. (g) Schematic of low-loss nonvolatile SiN photonic-integrated Bragg grating based on Sb2S3 and GSST; reproduced from [204] under a CC BY 4.0 license. (h) Microscope image of a SiN MZI with a Sb2S3 cell deposited in one of the arms; reproduced from [207] under a CC BY 4.0 license. (i) Schematic of an integrated photonic graphene microheater for phase change chalcogenides on SiN building blocks; reproduced from [215] under a CC BY 4.0 license.

5.2. Permanent Tuning of the Refractive Index

The refractive index of silicon nitride deposited by PECVD can be varied from 1.7 up to 3.1, depending on the ratio between its silicon and nitrogen content [10,216]. This value is determined during the deposition process, but in the case of nitrogen-rich silicon nitride (N-rich SiN) (with refractive index of 1.9), it can also be permanently varied postfabrication, offering a higher level of tunability.
It has been proven that the refractive index of N-rich SiN decreases when exposed to ultraviolet light [217]. Using a laser as the light source, the magnitude of the refractive index change can be controlled by varying the exposure time and the laser power. Thanks to the high power density and directionality of a laser beam, this process can be much quicker compared to using an incoherent source, and can be localised to a specific area.
By exposing a section of an RR for a few seconds (or some minutes, depending on its free spectral range and on the power of the laser), it is possible to switch its output, shifting its resonances towards shorter wavelengths (blue shift). Experimentally, a shift of 6.95 nm of the resonant wavelength has been demonstrated after exposing with a UV laser ( 244 nm wavelength and 40 kJ /cm 2 fluence) a 126 μ m long section of an RR with FSR of 6.15 nm [218].
This method can be applied to correct fabrication variations and for the reconfiguration of photonic circuits based on RRs, or other coupling devices such as splitters based on Mach–Zender interferometers. This technique has the advantage of providing a low-cost fine-tuning postprocessing method since no additional fabrication steps are required. Furthermore, a real-time adjustment of any photonic devices on the chip is possible during the exposure, enabling a high accuracy of trimming.
Similar results can be obtained by depositing a photosensitive polymer layer on top of the waveguides [219,220]. Localised thermal annealing of the silicon dioxide cladding enables a permanent trimming of the response of SiN ring resonators as well [221]. These methods are compatible with any type of SiN, but because of their additional fabrication complexity, the advantages of the laser-trimming technique are lost.

6. III-V/SiN Integration: Towards Efficient Monolithic Lasers on Silicon Substrate

The explosive growth of silicon-based photonic integrated circuits (PICs) along with their wide applications requires high-performance coherent light sources lasers. Current commercialised silicon PICs are mainly powered by external free-running semiconductor lasers, [222,223] substantially increasing the cost of the systems, the power requirements and limiting scalability. In order to satisfy a range of systems, the integration of lasers to CMOS PICs requires a number of specifications such as a high output power, low lasing threshold, high temperature stability, ultralow linewidth and low noise level. Because of the indirect bandgap of Si, the heterogeneous or monolithic integration of lasers based on direct-bandgap III-V materials is currently the preferred solution for silicon PICs [224]. This is despite substantial progress related to the lasers based on group-IV SiGeSn materials which have been demonstrated at low temperatures and mid-infrared wavelengths [225,226,227]. Currently, most III-V laser integration schemes are based on the silicon-on-insulator (SOI) platforms [228,229], focusing on wavelengths in the near- and mid-infrared (NIR and MIR) regions, owing to the transparency window of Si (Figure 9). In contrast, silicon nitride (SiN) possesses a wider transparency window, extending from the MIR down to the UV, due to the large bandgap of the material. This matches the available bandgap of III-V semiconductor families (Figure 9). As discussed previously, due to the SiN benefits from an extremely low thermo-optic coefficient [230,231], nonlinear coefficient [232], tunable refractive index (by adjusting the Si/N ratio) [10,216] and CMOS-fabrication compatibility, ultralow-loss (< 0.1 dB/cm) SiN is quickly becoming an ideal candidate for a range of applications in silicon photonics [8,41]. In contrast, III-V lasers integrated with SiN waveguides (III-V/SiN lasers) have yet to be developed fully, to compete with the widely reported III-V/Si lasers [228,229]. In this section, we focus on the recent progress achieved in III-V lasers (represented by GaN, InP and GaAs) along with their major characteristics required for CMOS PICs.
Figure 9. Spectral transparency of SiO2, Si and SiN (yellow); bandgap of III-V materials and gain structures (purple to red) and representative applications.
As the most obvious material advantage compared with that of Si-, SiN-coupled lasers can extend working wavelengths down to the visible–NIR wavelength range below 1100 nm, where virtual reality (VR)/augmented reality (AR), high-density optical storage, short-reach communication, and quantum technologies play important roles (Figure 9) [233,234,235]. An example of the benefits offered by integration in SiN was demonstrated by Kumari and colleagues, who employed a SiN-based high-index-contrast subwavelength grating (HCG) to substitute one of the distributed Bragg reflectors (DBRs) of a GaAs VCSEL working at 850 nm, via adhesive die bonding [236]. The grating structure not only worked as a cavity mirror, but it also guided light into the horizontal direction (perpendicular to the DBR stacks) out of the cavity. Following this, they fabricated an intracavity polarisation-insensitive HCG coupler followed by a SiN waveguide. By adjusting the parameters of the grating (duty cycle/period) and the oxide aperture size (4–6 μ m), the laser exhibited a low threshold of only 1.1 mA and maximum single mode suppression ratio (SMSR) of 55 dB at ~845 nm [237,238,239]. At a shorter wavelength range, a violet-blue (405–435nm) RR with high quality factor (Q) up to 6 × 10 6 using low-loss ( 0.9 dB/cm) SiN waveguides have been fabricated (Figure 10a,b) [240], and a conceptual blue III-Nitride/SiN PIC has been proposed by employing vertical grating couplers (with a maximum simulated coupling efficiency of 40%) and adiabatic optical tapers (with simulated coupling loss <4 dB), respectively, [241]. In addition to the progress in the visible–NIR wavelength range, SiN also demonstrates its versatility in the MIR region, where photonic devices and integrated systems with performances comparable to their Si counterparts have been developed [242]. Nevertheless, III-V/SiN lasers targeting the MIR region have not been reported so far, which is in contrast with progresses reported on the development of MIR III-V/Si lasers [242,243]. Besides a wider transparency window, III-V/SiN-coupled lasers also have a better thermal stability compared with III-V/Si lasers, which is crucial for the wavelength tuning stability in PICs. For example, Iadanza and colleagues demonstrated a single mode laser (with SMSR = 45 dB) with a III-V gain chip coupled to an external SiN DBR waveguide [244]. The laser exhibited extreme thermal stability with mode-hop-free behaviour under an injection current range of 15–62mA from room temperature up to 80 °C. The authors attributed the improved lasing properties to the low thermal-optical coefficient of SiN [230,231]. The above-mentioned reports on III-V/SiN lasers with excellent photonic characteristics prove that they can bring added functionalities of CMOS PICs to III/Si lasers, by extending the working wavelength to a wider range.
Figure 10. (a) Cutback measurement results for 0.8 μ m wide waveguide spirals of around 405 nm and (b) measured transmission spectra of a high-Q mode at 453 nm; reprinted with permission from [240], ©2021 The Optical Society.
Figure 11a shows the recent progress related to the integration methods of on-chip lasers coupled with SiN waveguides [239,244,245,246,247,248,249,250,251,252,253,254,255,256,257,258,259,260,261,262,263,264,265,266,267,268,269,270,271,272,273,274,275,276,277,278,279,280]. Except for those labelled in Figure 11a,b, almost all lasers are coupled to the SiN platform with mature or commercial InP gain structures (DFB, reflective semiconductor optical amplifier, FP, etc.) [281] by hybrid or heterogeneous integration. In general, the hybrid integration, utilising easily controlled edge-/butt-coupled schemes to merge bulky SiN platforms and a separate III-V gain chip, gives a better threshold and output power (Figure 11a,b), while the III-V gain is often homoepitaxially grown on a non-Si substrate. This method often leads to a large footprint not favoured by CMOS PICs. Both heterogeneous (wafer-/die-level gain area transfer) and monolithic (III-V grown directly on Si) integration are more promising, even though they are at an early stage of maturity. It is worth to mention that sophisticated optical couplers are often required for heterogeneous/monolithic III-V lasers owing to the large difference in the refractive index between SiN and III-Vs (>1.1 at 1550 nm). Figure 11c shows an optical taper that consists of a III-V/Si intermediate layer/SiN layer used in a single-frequency laser, to couple light from the gain region into SiN ring waveguides, generating a threshold current of 59 mA and on-chip output power of 0.35 mW [245]. Such couplers, developed since the first heterogeneous III-V/SiN laser [265], are subject to coupling losses from sub-dB to several dB and can be further improved by structural design and material engineering. It is also noticeable that a majority of integrated lasers in recent years are based on InP (Figure 11a,b) [281], regardless of the fact that GaAs lasers have a higher quantum efficiency and a better thermal conductivity. Recent advances in telecom-wavelength lasing based on high-gain GaAs QDs heteroepitaxially grown on Si and SOI substrates with low thresholds and high temperature stability [282], indicate that it is a promising gain material for III-V/SiN monolithic integration and there is a strong possibility that 100 mW level heterogeneous/monolithic III-V/SiN lasers are achievable in the near future.
Figure 11. Development of (a) threshold current and (b) maximum output power of III-V/SiN-coupled lasers [239,244,245,246,247,248,249,250,251,252,253,254,255,256,257,258,259,260,261,262,263,264,265,266,267,268,269,270,271,272,273,274,275,276,277,278,279,280], (c) schematic layout of a heterogeneous III-V/SiN laser and its optical taper between SiN and III-V gain sections [245] and (d) development of linewidth of III-V/SiN lasers [244,246,248,249,252,254,256,257,258,261,262,263,264,266,267,270,272,273,274,275,276,279,280,283,284,285].
The narrow linewidth of a laser that is often associated with low noise, is an important metric for the integration with the Si PICs towards practical applications in communications [286], LIDAR [287], spectroscopy [288] and optical clocks [289]. Traditional DBR or DFB lasers utilise intracavity feedback from the cavity mirrors, which normally realise a megahertz-level linewidth [290,291]. In contrast, a III-V/SiN-coupled laser is a natural external cavity configuration, whose lasing dynamics can be analysed by the same theory as that of an external cavity semiconductor laser [292], where the linewidth reduction is one of the major advantages. The linewidth of an external cavity laser can be calculated from, Δ ω = Δ ω 0 1 + A + B , where Δ ω 0 is the cavity linewidth, A and B are parameters related to phase (increasing group delay and photon lifetime) and spectral feedback of the external cavity (related threshold gain and local carrier density) [293]. Benefiting from the fast-developing SiN devices, especially resonators with Q up to >200 M [294], a highly precise phase (factor A) and spectral (factor B) feedback [295,296] is achievable in addition to an extended cavity length (thereby reducing Δ ω 0 ), likely to generate ultranarrow linewidth. Figure 11d displays the state-of-the-art linewidth of III-V/SiN-coupled lasers in terms of integration method [244,246,248,249,252,254,256,257,258,261,262,263,264,266,267,270,272,273,274,275,276,279,280,283,284,285]. It appears that significant progress has been made with hybrid integration when compared to heterogenous/monolithic integration, though the latter started its development at a later stage. The best results reported so far show that a hertz-level linewidth on a III-V/SiN laser is achievable with hybrid integration [283], which is the record value regarding III-V and Si photonics integrated platforms. The authors utilised ultrahigh Q (170 M–270 M) microresonators externally coupled to a III-V DFB laser based on high-aspect-ratio SiN waveguides ( 100 nm in thickness and 2.8 μ m –8 μ m in width). By precisely controlling the feedback phase from the SiN resonator to the active region (to adjust the air gap between chips), self-injection-locking was achieved. They observed a record narrow linewidth of 1.2 Hz along with low frequency noise of 0.2 Hz 2 /Hz. Though this is an example of a hybrid integrated laser, ultralow linewidth with low noise could also be achievable using heterogenous/monolithic III-V/SiN-coupled lasers with a smaller footprint, given that the technical barriers only lie in the III-V crystal quality on Si and the III-V/SiN interface engineering.
In summary, we reviewed the progress of III-V/SiN lasers associated with their performance and fabrication methods. The III-V/SiN-coupled lasers have arisen as a strong competitor to III-V/Si lasers, due to their advantages in terms of their extended transparency window down to UV–visible range and their better thermal stability. Based on the above discussions, it is concluded that the state-of-the-art integration technologies of III-V/SiN lasers are at very different stages. To date, the III-V/SiN laser with high performance (e.g., low threshold, high output power, narrow linewidth) are mainly fabricated by hybrid or heterogeneous integration with a relatively large footprint and some back-end type CMOS compatibility. The more recent and still challenging monolithic integration is also gaining attention, [259,260,297] and has a great potential for dramatically reducing the costs by fully leveraging the CMOS economy of scale and pushing further the limits of very large scale integrated photonics (VSLI-P) [298].

7. Conclusions

Silicon nitride has been a key material in the CMOS industry as well as a material for stand-alone optical circuitry for decades. More recently with the advent of silicon photonics and CMOS PICs, silicon nitride has generated a substantial interest in many areas of research and applications to complement the more “standard” silicon platform. As discussed in this review, silicon nitride displays a plethora of capability such as waveguide loss in the order of 1 dB/m, stability at high optical power level, low thermo-optic coefficient, highly efficient nonlinear operations, integrability with material-enabling modulation and lasing schemes on par or better than when using silicon-based devices, and it offers transparency from UV to MIR. Furthermore, silicon nitride is a flexible material through strain and refractive index engineering and can be used as a back-end process for photonic integration purposes, as a transition layer potentially providing a pathway for monolithic integration of a range of materials and devices on a CMOS based PIC. It is therefore clear that silicon nitride is an enabling material key to CMOS electronics and photonics and through the demonstration of these photonic building blocks it will enhance further the underpinning technology that is CMOS photonics.

Author Contributions

All authors contributed equally to this work. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by EP/T007303/1 “Silicon-rich silicon nitride Nonlinear Integrated Photonic ciRcuits & Systems (juNIPeRS)”, EPSRC EP/T028475/1 “QUantum Dot On Silicon systems for communications, information processing and sensing (QUDOS)”, EP/R003076/1 “Rockley Photonics and the University of Southampton: A Prosperity Partnership” and H2020 EU project Plasmoniac under grant agreement 871391; Agencia Estatal de Investigación and NextGenerationEU/PRTR (FJC2020-042823-I).

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Liang, D.; Bowers, J.E. Recent Progress in Heterogeneous III-V-on-Silicon Photonic Integration. Light. Adv. Manuf. 2021, 2, 59–83. [Google Scholar] [CrossRef]
  2. Rahim, A.; Hermans, A.; Wohlfeil, B.; Petousi, D.; Kuyken, B.; Van Thourhout, D.; Baets, R. Taking silicon photonics modulators to a higher performance level: State-of-the-art and a review of new technologies. Adv. Photonics 2021, 3, 024003. [Google Scholar] [CrossRef]
  3. Doerr, C.R. Silicon photonic integration in telecommunications. Front. Phys. 2015, 3, 37. [Google Scholar] [CrossRef]
  4. Lipka, T.; Moldenhauer, L.; Müller, J.; Trieu, H.K. Photonic integrated circuit components based on amorphous silicon-on-insulator technology. Photonics Res. 2016, 4, 126–134. [Google Scholar] [CrossRef]
  5. Worhoff, K.; Klein, E.; Hussein, G.; Driessen, A. Silicon oxynitride based photonics. In Proceedings of the 2008 10th Anniversary International Conference on Transparent Optical Networks, Athens, Greece, 22–26 June 2008; Volume 3, pp. 266–269. [Google Scholar] [CrossRef]
  6. Lu, T.J.; Fanto, M.; Choi, H.; Thomas, P.; Steidle, J.; Mouradian, S.; Kong, W.; Zhu, D.; Moon, H.; Berggren, K.; et al. Aluminum nitride integrated photonics platform for the ultraviolet to visible spectrum. Opt. Express 2018, 26, 11147–11160. [Google Scholar] [CrossRef]
  7. West, G.N. Seeing blue: Pushing integrated photonics into the ultraviolet with ALD aluminum oxide. In Integrated Optics: Devices, Materials, and Technologies XXIV; García-Blanco, S.M., Cheben, P., Eds.; International Society for Optics and Photonics, SPIE: Bellingham, WA, USA, 2020; Volume 11283, pp. 10–22. [Google Scholar] [CrossRef]
  8. Blumenthal, D.J.; Heideman, R.; Geuzebroek, D.; Leinse, A.; Roeloffzen, C. Silicon Nitride in Silicon Photonics. Proc. IEEE 2018, 106, 2209–2231. [Google Scholar] [CrossRef]
  9. Blumenthal, D.J. Photonic integration for UV to IR applications. APL Photonics 2020, 5, 020903. [Google Scholar] [CrossRef]
  10. Domínguez Bucio, T.; Khokhar, A.Z.; Lacava, C.; Stankovic, S.; Mashanovich, G.Z.; Petropoulos, P.; Gardes, F.Y. Material and optical properties of low-temperature NH3-free PECVD SiNx layers for photonic applications. J. Phys. D: Appl. Phys. 2017, 50, 025106. [Google Scholar] [CrossRef]
  11. Ng, D.K.T.; Wang, Q.; Wang, T.; Ng, S.K.; Toh, Y.T.; Lim, K.P.; Yang, Y.; Tan, D.T.H. Exploring High Refractive Index Silicon-Rich Nitride Films by Low-Temperature Inductively Coupled Plasma Chemical Vapor Deposition and Applications for Integrated Waveguides. ACS Appl. Mater. Interfaces 2015, 7, 21884–21889. [Google Scholar] [CrossRef]
  12. Tan, D.T.H.; Ooi, K.J.A.; Ng, D.K.T. Nonlinear optics on silicon-rich nitride–a high nonlinear figure of merit CMOS platform. Photonics Res. 2018, 6, B50–B66. [Google Scholar] [CrossRef]
  13. Fernández Gavela, A.; Grajales García, D.; Ramirez, J.C.; Lechuga, L.M. Last advances in silicon-based optical biosensors. Sensors 2016, 16, 285. [Google Scholar] [CrossRef]
  14. Antonacci, G.; Goyvaerts, J.; Zhao, H.; Baumgartner, B.; Lendl, B.; Baets, R. Ultra-sensitive refractive index gas sensor with functionalized silicon nitride photonic circuits. APL Photonics 2020, 5, 081301. [Google Scholar] [CrossRef]
  15. Bauters, J.F.; Heck, M.J.R.; Demis, J.; Dai, D.; Tien, M.; Barton, J.S.; Leinse, A.; Heideman, R.G.; Blumenthal, D.J.; Bowers, J.E. Ultra-low-loss high-aspect-ratio Si3N4 waveguides. Opt. Express 2011, 19, 3163–3174. [Google Scholar] [CrossRef] [PubMed]
  16. Bauters, J.F.; Heck, M.J.R.; John, D.D.; Barton, J.S.; Bruinink, C.M.; Leinse, A.; Heideman, R.G.; Blumenthal, D.J.; Bowers, J.E. Planar waveguides with less than 0.1dB/m propagation loss fabricated with wafer bonding. Opt. Express 2011, 19, 24090–24101. [Google Scholar] [CrossRef]
  17. Bauters, J.F.; Davenport, M.L.; Heck, M.J.R.; Doylend, J.K.; Chen, A.; Fang, A.W.; Bowers, J.E. Silicon on ultra-low-loss waveguide photonic integration platform. Opt. Express 2013, 21, 544–555. [Google Scholar] [CrossRef] [PubMed]
  18. Huang, Y.; Song, J.; Luo, X.; Liow, T.Y.; Lo, G.Q. CMOS compatible monolithic multi-layer Si3N4-on-SOI platform for low-loss high performance silicon photonics dense integration. Opt. Express 2014, 22, 21859. [Google Scholar] [CrossRef] [PubMed]
  19. Zhang, H.; Li, C.; Tu, X.; Luo, X.; Yu, M.; Lo, P.G.Q. High efficiency silicon nitride grating coupler. Appl. Phys. A: Mater. Sci. Process. 2014, 115, 79–82. [Google Scholar] [CrossRef]
  20. Sacher, W.D.; Huang, Y.; Lo, G.; Poon, J.K.S. Multilayer Silicon Nitride-on-Silicon Integrated Photonic Platforms and Devices. J. Light. Technol. 2015, 33, 901–910. [Google Scholar] [CrossRef]
  21. Liu, J.; Huang, G.; Wang, R.N.; He, J.; Raja, A.S.; Liu, T.; Engelsen, N.J.; Kippenberg, T.J. High-yield wafer-scale fabrication of ultralow-loss, dispersion-engineered silicon nitride photonic circuits. Nat. Commun. 2020, 12, 2236. [Google Scholar] [CrossRef]
  22. Ji, X.; Roberts, S.; Corato-Zanarella, M.; Lipson, M. Methods to achieve ultra-high quality factor silicon nitride resonators. APL Photonics 2021, 6, 071101. [Google Scholar] [CrossRef]
  23. Temple-Boyer, P.; Rossi, C.; Saint-Etienne, E.; Scheid, E. Residual stress in low pressure chemical vapor deposition SiNx films deposited from silane and ammonia. J. Vac. Sci. Technol. A: Vac. Surfaces Film. 1998, 16, 2003–2007. [Google Scholar] [CrossRef]
  24. Luke, K.; Dutt, A.; Poitras, C.B.; Lipson, M. Overcoming Si3N4 film stress limitations for high quality factor ring resonators. Opt. Express 2013, 21, 22829. [Google Scholar] [CrossRef] [PubMed]
  25. Ji, X.; Barbosa, F.A.S.; Roberts, S.P.; Dutt, A.; Cardenas, J.; Okawachi, Y.; Bryant, A.; Gaeta, A.L.; Lipson, M. Ultra-low-loss on-chip resonators with sub-milliwatt parametric oscillation threshold. Optica 2017, 4, 619. [Google Scholar] [CrossRef]
  26. Epping, J.P.; Hoekman, M.; Mateman, R.; Leinse, A.; Heideman, R.G.; van Rees, A.; van der Slot, P.J.; Lee, C.J.; Boller, K.J. High confinement, high yield Si3N4 waveguides for nonlinear optical applications. Opt. Express 2015, 23, 642. [Google Scholar] [CrossRef] [PubMed]
  27. Pfeiffer, M.H.P.; Kordts, A.; Brasch, V.; Zervas, M.; Geiselmann, M.; Jost, J.D.; Kippenberg, T.J. Photonic Damascene process for integrated high-Q microresonator based nonlinear photonics. Optica 2016, 3, 20–25. [Google Scholar] [CrossRef]
  28. Pfeiffer, M.H.P.; Herkommer, C.; Liu, J.; Morais, T.; Zervas, M.; Geiselmann, M.; Kippenberg, T.J. Photonic damascene process for low-loss, high-confinement silicon nitride waveguides. IEEE J. Sel. Top. Quantum Electron. 2018, 24, 1–11. [Google Scholar] [CrossRef]
  29. Pfeiffer, M.H.P.; Liu, J.; Raja, A.S.; Morais, T.; Ghadiani, B.; Kippenberg, T.J. Ultra-smooth silicon nitride waveguides based on the Damascene reflow process: Fabrication and loss origins. Optica 2018, 5, 884. [Google Scholar] [CrossRef]
  30. Liu, J.; Raja, A.S.; Karpov, M.; Ghadiani, B.; Pfeiffer, M.H.P.; Du, B.; Engelsen, N.J.; Guo, H.; Zervas, M.; Kippenberg, T.J. Ultralow-power chip-based soliton microcombs for photonic integration. Optica 2018, 5, 1347–1353. [Google Scholar] [CrossRef]
  31. Ye, Z.; Twayana, K.; Andrekson, P.A.; Torres-Company, V. High-Q Si3N4 microresonators based on a subtractive processing for Kerr nonlinear optics. Opt. Express 2019, 27, 35719. [Google Scholar] [CrossRef]
  32. Ye, Z.; Fülöp, A.; Helgason, Ó.B.; Andrekson, P.A.; Torres-Company, V. Low-loss high-Q silicon-rich silicon nitride microresonators for Kerr nonlinear optics. Opt. Lett. 2019, 44, 3326. [Google Scholar] [CrossRef]
  33. El Dirani, H.; Youssef, L.; Petit-Etienne, C.; Kerdiles, S.; Grosse, P.; Monat, C.; Pargon, E.; Sciancalepore, C. Ultralow-loss tightly confining Si3N4 waveguides and high-Q microresonators. Opt. Express 2019, 27, 30726. [Google Scholar] [CrossRef] [PubMed]
  34. El Dirani, H.; Casale, M.; Kerdiles, S.; Socquet-Clerc, C.; Letartre, X.; Monat, C.; Sciancalepore, C. Crack-Free Silicon-Nitride-on-Insulator Nonlinear Circuits for Continuum Generation in the C-Band. IEEE Photonics Technol. Lett. 2018, 30, 355–358. [Google Scholar] [CrossRef]
  35. El Dirani, H.; Kamel, A.; Casale, M.; Kerdiles, S.; Monat, C.; Letartre, X.; Pu, M.; Oxenløwe, L.K.; Yvind, K.; Sciancalepore, C. Annealing-free Si3N4 frequency combs for monolithic integration with Si photonics. Appl. Phys. Lett. 2018, 113, 081102. [Google Scholar] [CrossRef]
  36. Tan, D.T.H.; Ng, D.K.T.; Choi, J.W.; Sahin, E.; Sohn, B.U.; Chen, G.F.R.; Xing, P.; Gao, H.; Cao, Y. Nonlinear optics in ultra-silicon-rich nitride devices: Recent developments and future outlook. Adv. Phys. X 2021, 6, 1905544. [Google Scholar] [CrossRef]
  37. Wilmart, Q.; El Dirani, H.; Tyler, N.; Fowler, D.; Malhouitre, S.; Garcia, S.; Casale, M.; Kerdiles, S.; Hassan, K.; Monat, C.; et al. A Versatile Silicon-Silicon Nitride Photonics Platform for Enhanced Functionalities and Applications. Appl. Sci. 2019, 9, 255. [Google Scholar] [CrossRef]
  38. Lafforgue, C.; Guerber, S.; Ramirez, J.M.; Marcaud, G.; Alonso-Ramos, C.; Roux, X.L.; Marris-Morini, D.; Cassan, E.; Baudot, C.; Boeuf, F.; et al. Broadband supercontinuum generation in nitrogen-rich silicon nitride waveguides using a 300 mm industrial platform. Photon. Res. 2020, 8, 352–358. [Google Scholar] [CrossRef]
  39. Lacava, C.; Stankovic, S.; Khokhar, A.Z.; Domínguez Bucio, T.; Gardes, F.Y.; Reed, G.T.; Richardson, D.J.; Petropoulos, P. Si-rich Silicon Nitride for Nonlinear Signal Processing Applications. Sci. Rep. 2017, 7, 22. [Google Scholar] [CrossRef] [PubMed]
  40. Lacava, C.; Bucio, T.D.; Khokhar, A.Z.; Horak, P.; Jung, Y.; Gardes, F.Y.; Richardson, D.J.; Petropoulos, P.; Parmigiani, F. Intermodal frequency generation in silicon-rich silicon nitride waveguides. Photon. Res. 2019, 7, 615–621. [Google Scholar] [CrossRef]
  41. Domínguez Bucio, T.; Lacava, C.; Clementi, M.; Faneca, J.; Skandalos, I.; Baldycheva, A.; Galli, M.; Debnath, K.; Petropoulos, P.; Gardes, F. Silicon nitride photonics for the near-infrared. IEEE J. Sel. Top. Quantum Electron. 2019, 26, 1–13. [Google Scholar] [CrossRef]
  42. Krückel, C.J.; Fülöp, A.; Ye, Z.; Andrekson, P.A. Optical bandgap engineering in nonlinear silicon nitride waveguides. Opt. Express 2017, 25, 15370–15380. [Google Scholar] [CrossRef]
  43. Ooi, K.; Ng, D.; Wang, T.; Chee, A.; Ng, S.; Wang, Q.; Ang, L.; Agarwal, A.; Kimerling, L.; Tan, D. Pushing the limits of CMOS optical parametric amplifiers with USRN: Si7N3 above the two-photon absorption edge. Nat. Commun. 2017, 8, 13878. [Google Scholar] [CrossRef] [PubMed]
  44. Choi, J.; Sohn, B.U.; Chen, G.; Ng, D.; Tan, D. Broadband incoherent four-wave mixing and 27 dB idler conversion efficiency using ultra-silicon rich nitride devices. Appl. Phys. Lett. 2018, 112, 181101. [Google Scholar] [CrossRef]
  45. Lim, K.P.; Krishnamurthy, V.; Ying, J.F.; Pu, J.; Wang, Q. Ultrahigh index and low-loss silicon rich nitride thin film for NIR HAMR optics. IEEE Trans. Magn. 2017, 53, 1–7. [Google Scholar] [CrossRef]
  46. Choi, J.W.; Chen, G.F.; Ng, D.; Ooi, K.J.; Tan, D.T. Wideband nonlinear spectral broadening in ultra-short ultra-silicon rich nitride waveguides. Sci. Rep. 2016, 6, 27120. [Google Scholar] [CrossRef] [PubMed]
  47. Cong, H.; Feng, Q.; Zhang, J.; Wang, J.; Wei, W.; Wang, T.; Zhang, J. Kerr nonlinearity induced four-wave mixing of CMOS-compatible PECVD deposited ultra-Si-rich-nitride. J. Appl. Phys. 2020, 128, 013102. [Google Scholar] [CrossRef]
  48. Choi, J.W.; Sohn, B.U.; Chen, G.F.; Ng, D.K.; Tan, D.T. Soliton-effect optical pulse compression in CMOS-compatible ultra-silicon-rich nitride waveguides. APL Photonics 2019, 4, 110804. [Google Scholar] [CrossRef]
  49. Agrawal, G.P. Nonlinear fiber optics. In Nonlinear Science at the Dawn of the 21st Century; Springer: Berlin/Heidelberg, Germany, 2000; pp. 195–211. [Google Scholar] [CrossRef]
  50. Miller, R.C. Optical second harmonic generation in piezoelectric crystals. Appl. Phys. Lett. 1964, 5, 17–19. [Google Scholar] [CrossRef]
  51. Lacava, C.; Minzioni, P.; Baldini, E.; Tartara, L.; Fedeli, J.; Cristiani, I. Nonlinear characterization of hydrogenated amorphous silicon waveguides and analysis of carrier dynamics. Appl. Phys. Lett. 2013, 103, 141103. [Google Scholar] [CrossRef]
  52. Guasoni, M.; Parmigiani, F.; Horak, P.; Richardson, D.J. Novel fiber design for wideband conversion and amplification in multimode fibers. In Proceedings of the 2017 European Conference on Optical Communication (ECOC), Gothenburg, Sweden, 17–21 September 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 1–3. [Google Scholar] [CrossRef]
  53. Christensen, J.B.; Koefoed, J.G.; Bell, B.A.; McKinstrie, C.J.; Rottwitt, K. Shape-preserving and unidirectional frequency conversion by four-wave mixing. Opt. Express 2018, 26, 17145–17157. [Google Scholar] [CrossRef]
  54. Bell, B.A.; Xiong, C.; Marpaung, D.; McKinstrie, C.J.; Eggleton, B.J. Uni-directional wavelength conversion in silicon using four-wave mixing driven by cross-polarized pumps. Opt. Lett. 2017, 42, 1668–1671. [Google Scholar] [CrossRef]
  55. Sohn, B.U.; Choi, J.W.; Ng, D.K.; Tan, D.T. Optical nonlinearities in ultra-silicon-rich nitride characterized using z-scan measurements. Sci. Rep. 2019, 9, 10364. [Google Scholar] [CrossRef]
  56. Ikeda, K.; Saperstein, R.E.; Alic, N.; Fainman, Y. Thermal and Kerr nonlinear properties of plasma-deposited silicon nitride/silicon dioxide waveguides. Opt. Express 2008, 16, 12987–12994. [Google Scholar] [CrossRef] [PubMed]
  57. Tan, D.; Ikeda, K.; Sun, P.; Fainman, Y. Group velocity dispersion and self phase modulation in silicon nitride waveguides. Appl. Phys. Lett. 2010, 96, 061101. [Google Scholar] [CrossRef]
  58. Sahin, E.; Ng, D.K.; Tan, D.T. Optical parametric gain in CMOS-compatible sub-100 μm photonic crystal waveguides. APL Photonics 2020, 5, 066108. [Google Scholar] [CrossRef]
  59. Sahin, E.; Blanco-Redondo, A.; Xing, P.; Ng, D.K.; Png, C.E.; Tan, D.T.; Eggleton, B.J. Bragg soliton compression and fission on CMOS-compatible ultra-silicon-rich nitride. Laser Photonics Rev. 2019, 13, 1900114. [Google Scholar] [CrossRef]
  60. Cao, Y.; Sahin, E.; Choi, J.W.; Xing, P.; Chen, G.F.; Ng, D.; Eggleton, B.J.; Tan, D.T. Thermo-optically tunable spectral broadening in a nonlinear ultra-silicon-rich nitride Bragg grating. Photonics Res. 2021, 9, 596–604. [Google Scholar] [CrossRef]
  61. Wang, T.; Ng, D.K.; Ng, S.K.; Toh, Y.T.; Chee, A.K.; Chen, G.F.; Wang, Q.; Tan, D.T. Supercontinuum generation in bandgap engineered, back-end CMOS compatible silicon rich nitride waveguides. Laser Photonics Rev. 2015, 9, 498–506. [Google Scholar] [CrossRef]
  62. Ng, D.K.; Xing, P.; Chen, G.F.; Gao, H.; Cao, Y.; Tan, D.T. Improved CMOS-compatible ultra-silicon-rich nitride for non-linear optics. In Optical Components and Materials XVIII; International Society for Optics and Photonics: Bellingham, WA, USA, 2021; Volume 11682, p. 116820L. [Google Scholar]
  63. Ng, D.K.; Gao, H.; Xing, P.; Chen, G.F.; Chia, X.X.; Cao, Y.; Ong, K.Y.; Tan, D.T. Enhanced photonics devices based on low temperature plasma-deposited dichlorosilane-based ultra-silicon-rich nitride (Si8N). Sci. Rep. 2022, 12, 5267. [Google Scholar] [CrossRef]
  64. Soref, R. The Past, Present, and Future of Silicon Photonics. IEEE J. Sel. Top. Quantum Electron. 2006, 12, 1678–1687. [Google Scholar] [CrossRef]
  65. Tien, P.; Martin, R.; Wolfe, R.; Le Craw, R.; Blank, S. Switching and modulation of light in magneto-optic waveguides of garnet films. Appl. Phys. Lett. 1972, 21, 394–396. [Google Scholar] [CrossRef]
  66. Densmore, A.; Janz, S.; Ma, R.; Schmid, J.H.; Xu, D.X.; Delâge, A.; Lapointe, J.; Vachon, M.; Cheben, P. Compact and low power thermo-optic switch using folded silicon waveguides. Opt. Express 2009, 17, 10457–10465. [Google Scholar] [CrossRef] [PubMed]
  67. Kittlaus, E.A.; Jones, W.M.; Rakich, P.T.; Otterstrom, N.T.; Muller, R.E.; Rais-Zadeh, M. Electrically driven acousto-optics and broadband non-reciprocity in silicon photonics. Nat. Photonics 2021, 15, 43–52. [Google Scholar] [CrossRef]
  68. Xu, Q.; Schmidt, B.; Pradhan, S.; Lipson, M. Micrometre-scale silicon electro-optic modulator. Nature 2005, 435, 325–327. [Google Scholar] [CrossRef] [PubMed]
  69. Liu, A.; Jones, R.; Liao, L.; Samara-Rubio, D.; Rubin, D.; Cohen, O.; Nicolaescu, R.; Paniccia, M. A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor. Nature 2004, 427, 615–618. [Google Scholar] [CrossRef] [PubMed]
  70. Thomson, D.J.; Gardes, F.Y.; Hu, Y.; Mashanovich, G.; Fournier, M.; Grosse, P.; Fedeli, J.M.; Reed, G.T. High contrast 40Gbit/s optical modulation in silicon. Opt. Express 2011, 19, 11507–11516. [Google Scholar] [CrossRef]
  71. Reed, G.T.; Thomson, D.J.; Gardes, F.Y.; Hu, Y.; Fedeli, J.M.; Mashanovich, G.Z. High-speed carrier-depletion silicon Mach–Zehnder optical modulators with lateral PN junctions. Front. Phys. 2014, 2, 77. [Google Scholar] [CrossRef]
  72. Gardes, F.; Reed, G.; Emerson, N.; Png, C. A sub-micron depletion-type photonic modulator in silicon on insulator. Opt. Express 2005, 13, 8845–8854. [Google Scholar] [CrossRef]
  73. Khurgin, J.B.; Stievater, T.H.; Pruessner, M.W.; Rabinovich, W.S. On the origin of the second-order nonlinearity in strained Si–SiN structures. JOSA B 2015, 32, 2494–2499. [Google Scholar] [CrossRef]
  74. Alloatti, L.; Palmer, R.; Diebold, S.; Pahl, K.P.; Chen, B.; Dinu, R.; Fournier, M.; Fedeli, J.M.; Zwick, T.; Freude, W.; et al. 100 GHz silicon–organic hybrid modulator. Light. Sci. Appl. 2014, 3, e173. [Google Scholar] [CrossRef]
  75. Zhang, X.; Hosseini, A.; yun Lin, C.; Luo, J.; Jen, A.K.Y.; Chen, R.T. Demonstration of Effective In-Device r33 over 1000 pm/V in Electro-Optic Polymer Refilled Silicon Slot Photonic Crystal Waveguide Modulator; Optica Publishing Group CLEO: San Jose, CA, USA, 2013; p. CTu2F.6. [Google Scholar] [CrossRef]
  76. Chen, L.; Xu, Q.; Wood, M.G.; Reano, R.M. Hybrid silicon and lithium niobate electro-optical ring modulator. Optica 2014, 1, 112–118. [Google Scholar] [CrossRef]
  77. He, M.; Xu, M.; Ren, Y.; Jian, J.; Ruan, Z.; Xu, Y.; Gao, S.; Sun, S.; Wen, X.; Zhou, L.; et al. High-performance hybrid silicon and lithium niobate Mach–Zehnder modulators for 100 Gbit s-1 and beyond. Nat. Photonics 2019, 13, 359–364. [Google Scholar] [CrossRef]
  78. Eltes, F.; Ortmann, J.E.; Castera, P.; Urbonas, D.; Caimi, D.; Czornomaz, L.; Sanchis, P.; Fompeyrine, J.; Abel, S. Silicon-Integrated High-Speed Modulators Based on Barium Titanate with Record-Large Pockels Coefficients. In Proceedings of the 2019 Conference on Lasers and Electro-Optics Europe European Quantum Electronics Conference (CLEO/Europe-EQEC), Munich, Germany, 23–27 June 2019; p. 1. [Google Scholar] [CrossRef]
  79. Li, M.; Tang, H.X. Strong pockels materials. Nat. Mater. 2019, 18, 9–11. [Google Scholar] [CrossRef] [PubMed]
  80. Alexander, K.; George, J.P.; Verbist, J.; Neyts, K.; Kuyken, B.; Van Thourhout, D.; Beeckman, J. Nanophotonic Pockels modulators on a silicon nitride platform. Nat. Commun. 2018, 9, 3444. [Google Scholar] [CrossRef] [PubMed]
  81. Zhou, B.; Li, E.; Bo, Y.; Wang, A. High-Speed Plasmonic-Silicon Modulator Driven by Epsilon-near-zero Conductive Oxide. J. Light. Technol. 2020, 8724, 3338–3345. [Google Scholar] [CrossRef]
  82. Baudzus, L.; Krummrich, P.M. Low Loss Electro-Optic Polymer Based Fast Adaptive Phase Shifters Realized in Silicon Nitride and Oxynitride Waveguide Technology. Photonics 2016, 3, 49. [Google Scholar] [CrossRef]
  83. Ahmed, A.N.R.; Shi, S.; Zablocki, M.; Yao, P.; Prather, D.W. Tunable Hybrid Silicon Nitride and Thin-Film Lithium Niobate Electro-Optic microresonator. Opt. Lett. 2019, 44, 618–621. [Google Scholar] [CrossRef]
  84. Ortmann, J.E.; Eltes, F.; Caimi, D.; Meier, N.; Demkov, A.A.; Czornomaz, L.; Fompeyrine, J.; Abel, S. Ultra-Low-Power Tuning in Hybrid Barium Titanate–Silicon Nitride Electro-optic Devices on Silicon. ACS Photonics 2019, 6, 2677–2684. [Google Scholar] [CrossRef]
  85. Faneca, J.; Hogan, B.T.; Diez, I.R.; Gardes, F.Y.; Baldycheva, A. Tuning silicon-rich nitride microring resonances with graphene capacitors for high-performance computing applications. Opt. Express 2019, 27, 35129–35140. [Google Scholar] [CrossRef]
  86. He, J.; Paradisanos, I.; Liu, T.; Cadore, A.R.; Liu, J.; Churaev, M.; Wang, R.N.; Raja, A.S.; Javerzac-Galy, C.; Roelli, P.; et al. Low-Loss Integrated Nanophotonic Circuits with Layered Semiconductor Materials. Nano Lett. 2021, 21, 2709–2718. [Google Scholar] [CrossRef]
  87. Domnguez Bucio, T.; Tarazona, A.; Khokhar, A.Z.; Mashanovich, G.Z.; Gardes, F.Y. Low temperature silicon nitride waveguides for multilayer platforms. In Silicon Photonics and Photonic Integrated Circuits V; Vivien, L., Pavesi, L., Pelli, S., Eds.; International Society for Optics and Photonics, SPIE: Bellingham, WA, USA, 2016; Volume 9891, pp. 335–341. [Google Scholar] [CrossRef]
  88. Qiu, F.; Yokoyama, S. Efficiently poled electro-optic polymer modulators. Opt. Express 2016, 24, 19020–19025. [Google Scholar] [CrossRef]
  89. Enami, Y.; Nakamura, H.; Luo, J.; Jen, A.Y. Analysis of efficiently poled electro-optic polymer/TiO2 vertical slot waveguide modulators. Opt. Commun. 2016, 362, 77–80. [Google Scholar] [CrossRef]
  90. Song, R.; Yick, A.; Steier, W.H. Conductivity-dependency-free in-plane poling for Mach–Zehnder modulator with highly conductive electro-optic polymer. Appl. Phys. Lett. 2007, 90, 191103. [Google Scholar] [CrossRef]
  91. Himmelhuber, R.; Herrera, O.D.; Voorakaranam, R.; Li, L.; Jones, A.M.; Norwood, R.A.; Luo, J.; Jen, A.K.Y.; Peyghambarian, N. A Silicon-Polymer Hybrid Modulator—Design, Simulation and Proof of Principle. J. Light. Technol. 2013, 31, 4067–4072. [Google Scholar] [CrossRef]
  92. Qiu, F.; Spring, A.M.; Maeda, D.; Ozawa, M.a.; Odoi, K.; Otomo, A.; Aoki, I.; Yokoyama, S. A hybrid electro-optic polymer and TiO2 double-slot waveguide modulator. Sci. Rep. 2015, 5, 8561. [Google Scholar] [CrossRef]
  93. Witzens, J.; Baehr-Jones, T.; Hochberg, M. Design of transmission line driven slot waveguide Mach–Zehnder interferometers and application to analog optical links. Opt. Express 2010, 18, 16902–16928. [Google Scholar] [CrossRef]
  94. Rutirawut, T.; Talataisong, W.; Gardes, F. Designs of Silicon Nitride Slot Waveguide Modulators with Electro-Optic Polymer and the Effect of Induced Charges in Si-Substrate on Their Performance. IEEE Photonics J. 2021, 13, 1–15. [Google Scholar] [CrossRef]
  95. Ishino, M.; Yokoyama, S. Hybrid thin silicon nitride and electro-optic polymer waveguide modulators. In Proceedings of the 2015 20th Microoptics Conference (MOC), Fukuoka, Japan, 25–28 October 2015; pp. 1–2. [Google Scholar] [CrossRef]
  96. Block, B.A.; Younkin, T.R.; Davids, P.S.; Reshotko, M.R.; Chang, P.; Polishak, B.M.; Huang, S.; Luo, J.; Jen, A.K. Electro-optic polymer cladding ring resonator modulators. Opt. Express 2008, 16, 18326–18333. [Google Scholar] [CrossRef]
  97. Olsson, S.L.; Eliasson, H.; Astra, E.; Karlsson, M.; Andrekson, P.A. Long-haul optical transmission link using low-noise phase-sensitive amplifiers. Nat. Commun. 2018, 9, 2513. [Google Scholar] [CrossRef]
  98. Weis, R.; Gaylord, T. Lithium niobate: Summary of physical properties and crystal structure. Appl. Phys. A 1985, 37, 191–203. [Google Scholar] [CrossRef]
  99. Moretti, L.; Iodice, M.; Della Corte, F.G.; Rendina, I. Temperature dependence of the thermo-optic coefficient of lithium niobate, from 300 to 515 K in the visible and infrared regions. J. Appl. Phys. 2005, 98, 036101. [Google Scholar] [CrossRef]
  100. Parameswaran, K.R.; Route, R.K.; Kurz, J.R.; Roussev, R.V.; Fejer, M.M.; Fujimura, M. Highly efficient second-harmonic generation in buried waveguides formed by annealed and reverse proton exchange in periodically poled lithium niobate. Opt. Lett. 2002, 27, 179–181. [Google Scholar] [CrossRef] [PubMed]
  101. Wooten, E.L.; Kissa, K.M.; Yi-Yan, A.; Murphy, E.J.; Lafaw, D.A.; Hallemeier, P.F.; Maack, D.; Attanasio, D.V.; Fritz, D.J.; McBrien, G.J.; et al. A review of lithium niobate modulators for fiber-optic communications systems. IEEE J. Sel. Top. Quantum Electron. 2000, 6, 69–82. [Google Scholar] [CrossRef]
  102. Levy, M.; Osgood Jr, R.; Liu, R.; Cross, L.; Cargill Iii, G.; Kumar, A.; Bakhru, H. Fabrication of single-crystal lithium niobate films by crystal ion slicing. Appl. Phys. Lett. 1998, 73, 2293–2295. [Google Scholar] [CrossRef]
  103. Zhu, D.; Shao, L.; Yu, M.; Cheng, R.; Desiatov, B.; Xin, C.; Hu, Y.; Holzgrafe, J.; Ghosh, S.; Shams-Ansari, A.; et al. Integrated photonics on thin-film lithium niobate. Adv. Opt. Photonics 2021, 13, 242–352. [Google Scholar] [CrossRef]
  104. Wang, C.; Zhang, M.; Chen, X.; Bertrand, M.; Shams-Ansari, A.; Chandrasekhar, S.; Winzer, P.; Lončar, M. Integrated lithium niobate electro-optic modulators operating at CMOS-compatible voltages. Nature 2018, 562, 101–104. [Google Scholar] [CrossRef] [PubMed]
  105. Ahmed, A.N.R.; Shi, S.; Mercante, A.J.; Prather, D.W. High-performance racetrack resonator in silicon nitride-thin film lithium niobate hybrid platform. Opt. Express 2019, 27, 30741–30751. [Google Scholar] [CrossRef] [PubMed]
  106. Huang, X.; Liu, Y.; Li, Z.; Fan, Z.; Han, W. High-performance and compact integrated photonics platform based on silicon rich nitride–lithium niobate on insulator. APL Photonics 2021, 6, 116102. [Google Scholar] [CrossRef]
  107. Jin, S.; Xu, L.; Zhang, H.; Li, Y. LiNbO3 Thin-Film Modulators Using Silicon Nitride Surface Ridge Waveguides. IEEE Photonics Technol. Lett. 2015, 28, 736–739. [Google Scholar] [CrossRef]
  108. Chang, L.; Pfeiffer, M.H.; Volet, N.; Zervas, M.; Peters, J.D.; Manganelli, C.L.; Stanton, E.J.; Li, Y.; Kippenberg, T.J.; Bowers, J.E. Heterogeneous integration of lithium niobate and silicon nitride waveguides for wafer-scale photonic integrated circuits on silicon. Opt. Lett. 2017, 42, 803–806. [Google Scholar] [CrossRef]
  109. Margalit, N.; Xiang, C.; Bowers, S.M.; Bjorlin, A.; Blum, R.; Bowers, J.E. Perspective on the future of silicon photonics and electronics. Appl. Phys. Lett. 2021, 118, 220501. [Google Scholar] [CrossRef]
  110. Zhang, J.; Muliuk, G.; Juvert, J.; Kumari, S.; Goyvaerts, J.; Haq, B.; Op de Beeck, C.; Kuyken, B.; Morthier, G.; Van Thourhout, D.; et al. III-V-on-Si photonic integrated circuits realized using micro-transfer-printing. APL Photonics 2019, 4, 110803. [Google Scholar] [CrossRef]
  111. Vanackere, T.; Billet, M.; de Beeck, C.O.; Poelman, S.; Roelkens, G.; Clemmen, S.; Kuyken, B. Micro-Transfer Printing of Lithium Niobate on Silicon Nitride. In Proceedings of the 2020 European Conference on Optical Communications (ECOC), Brussels, Belgium, 6–10 December 2020; pp. 1–4. [Google Scholar] [CrossRef]
  112. Ilie, S.T.; Ginel-Moreno, P.; Sagar, J.; Domínguez Bucio, T.; Ortega-Monux, A.; Lekkas, K.; Rutirawut, T.; Mastronardi, L.; Skandalos, I.; Grabska, K.M.; et al. Silicon nitride CMOS platform for integrated optical phased arrays applications. In Proceedings of the 2021 IEEE 17th International Conference on Group IV Photonics (GFP), Malaga, Spain, 25–27 August 2021; pp. 1–2. [Google Scholar] [CrossRef]
  113. Boes, A.; Corcoran, B.; Chang, L.; Bowers, J.; Mitchell, A. Status and Potential of Lithium Niobate on Insulator (LNOI) for Photonic Integrated Circuits. Laser Photonics Rev. 2018, 12, 1700256. [Google Scholar] [CrossRef]
  114. Shastri, B.J.; Tait, A.N.; Ferreira de Lima, T.; Pernice, W.H.; Bhaskaran, H.; Wright, C.D.; Prucnal, P.R. Photonics for artificial intelligence and neuromorphic computing. Nat. Photonics 2021, 15, 102–114. [Google Scholar] [CrossRef]
  115. Marder, S.R.; Kippelen, B.; Jen, A.K.Y.; Peyghambarian, N. Design and synthesis of chromophores and polymers for electro-optic and photorefractive applications. Nature 1997, 388, 845–851. [Google Scholar] [CrossRef]
  116. Abel, S.; Stöferle, T.; Marchiori, C.; Rossel, C.; Rossell, M.D.; Erni, R.; Caimi, D.; Sousa, M.; Chelnokov, A.; Offrein, B.J.; et al. A strong electro-optically active lead-free ferroelectric integrated on silicon. Nat. Commun. 2013, 4, 1671. [Google Scholar] [CrossRef]
  117. Sharma, R.; Puckett, M.W.; Lin, H.H.; Isichenko, A.; Vallini, F.; Fainman, Y. Effect of dielectric claddings on the electro-optic behavior of silicon waveguides. Opt. Lett. 2016, 41, 1185–1188. [Google Scholar] [CrossRef]
  118. Stark, P.; Horst, F.; Dangel, R.; Weiss, J.; Offrein, B.J. Opportunities for integrated photonic neural networks. Nanophotonics 2020, 9, 4221–4232. [Google Scholar] [CrossRef]
  119. Mourgias-Alexandris, G.; Totović, A.; Tsakyridis, A.; Passalis, N.; Vyrsokinos, K.; Tefas, A.; Pleros, N. Neuromorphic photonics with coherent linear neurons using dual-IQ modulation cells. J. Light. Technol. 2019, 38, 811–819. [Google Scholar] [CrossRef]
  120. Mourgias-Alexandris, G.; Dabos, G.; Passalis, N.; Totović, A.; Tefas, A.; Pleros, N. All-Optical WDM Recurrent Neural Networks with Gating. IEEE J. Sel. Top. Quantum Electron. 2020, 26, 1–7. [Google Scholar] [CrossRef]
  121. Mourgias-Alexandris, G.; Passalis, N.; Dabos, G.; Totović, A.; Tefas, A.; Pleros, N. A Photonic Recurrent Neuron for Time-Series Classification. J. Light. Technol. 2021, 39, 1340–1347. [Google Scholar] [CrossRef]
  122. Totović, A.R.; Dabos, G.; Passalis, N.; Tefas, A.; Pleros, N. Femtojoule per MAC Neuromorphic Photonics: An Energy and Technology Roadmap. IEEE J. Sel. Top. Quantum Electron. 2020, 26, 1–15. [Google Scholar] [CrossRef]
  123. Agrell, E.; Karlsson, M.; Chraplyvy, A.R.; Richardson, D.J.; Krummrich, P.M.; Winzer, P.; Roberts, K.; Fischer, J.K.; Savory, S.J.; Eggleton, B.J.; et al. Roadmap of optical communications. J. Opt. 2016, 18, 063002. [Google Scholar] [CrossRef]
  124. Yu, S.; Wu, X.; Wang, Y.; Guo, X.; Tong, L. 2D Materials for Optical Modulation: Challenges and Opportunities. Adv. Mater. 2017, 29, 1606128. [Google Scholar] [CrossRef] [PubMed]
  125. Huang, Y.; Pan, Y.H.; Yang, R.; Bao, L.H.; Meng, L.; Luo, H.L.; Cai, Y.Q.; Liu, G.D.; Zhao, W.J.; Zhou, Z.; et al. Universal mechanical exfoliation of large-area 2D crystals. Nat. Commun. 2020, 11, 2453. [Google Scholar] [CrossRef]
  126. Huo, C.; Yan, Z.; Song, X.; Zeng, H. 2D materials via liquid exfoliation: A review on fabrication and applications. Sci. Bull. 2015, 60, 1994–2008. [Google Scholar] [CrossRef]
  127. Cai, Z.; Liu, B.; Zou, X.; Cheng, H.M. Chemical Vapor Deposition Growth and Applications of Two-Dimensional Materials and Their Heterostructures. Chem. Rev. 2018, 118, 6091–6133. [Google Scholar] [CrossRef]
  128. Novoselov, K.S.; Geim, A.K.; Morozov, S.V.; Jiang, D.; Zhang, Y.; Dubonos, S.V.; Grigorieva, I.V.; Firsov, A.A. Electric Field Effect in Atomically Thin Carbon Films. Science 2004, 306, 666–669. [Google Scholar] [CrossRef]
  129. Wang, F.; Zhang, Y.; Tian, C.; Girit, C.; Zettl, A.; Crommie, M.; Shen, Y.R. Gate-Variable Optical Transitions in Graphene. Science 2008, 320, 206–209. [Google Scholar] [CrossRef]
  130. Liu, M.; Yin, X.; Ulin-Avila, E.; Geng, B.; Zentgraf, T.; Ju, L.; Wang, F.; Zhang, X. A graphene-based broadband optical modulator. Nature 2011, 474, 64–67. [Google Scholar] [CrossRef]
  131. Gruhler, N.; Benz, C.; Jang, H.; Ahn, J.H.; Danneau, R.; Pernice, W. High-quality Si3N4 circuits as a platform for graphene-based nanophotonic devices. Opt. Express 2013, 21, 31678–31689. [Google Scholar] [CrossRef]
  132. Phare, C.T.; Lee, Y.H.D.; Cardenas, J.; Lipson, M. Graphene electro-optic modulator with 30 GHz bandwidth. Nat. Photonics 2015, 9, 511–514. [Google Scholar] [CrossRef]
  133. Shiramin, L.A.; Van Thourhout, D. Graphene Modulators and Switches Integrated on Silicon and Silicon Nitride Waveguide. IEEE J. Sel. Top. Quantum Electron. 2016, 23, 94–100. [Google Scholar] [CrossRef]
  134. Fan, M.; Yang, H.; Zheng, P.; Hu, G.; Yun, B.; Cui, Y. Multilayer graphene electro-absorption optical modulator based on double-stripe silicon nitride waveguide. Opt. Express 2017, 25, 21619–21629. [Google Scholar] [CrossRef] [PubMed]
  135. Chen, W.; Xu, Y.; Gao, Y.; Ji, L.; Wang, X.; Sun, X.; Zhang, D. A Broadband Polarization-Insensitive Graphene Modulator Based on Dual Built-in Orthogonal Slots Plasmonic Waveguide. Appl. Sci. 2021, 11, 1897. [Google Scholar] [CrossRef]
  136. Lee, B.S.; Kim, B.; Freitas, A.P.; Mohanty, A.; Zhu, Y.; Bhatt, G.R.; Hone, J.; Lipson, M. High-performance integrated graphene electro-optic modulator at cryogenic temperature. Nanophotonics 2021, 10, 99–104. [Google Scholar] [CrossRef]
  137. Lee, B.S.; Freitas, A.P.; Gil-Molina, A.; Shim, E.; Zhu, Y.; Hone, J.; Lipson, M. Scalable graphene platform for Tbits/s data transmission. arXiv 2020, arXiv:2011.08832. [Google Scholar]
  138. Datta, I.; Lee, B.; Lipson, M. Low Power Optical Phase Array Using Graphene on Silicon Photonics; Technical Report; Columbia University, New York, NY, USA, 2018.
  139. Wang, H.; Yuan, H.; Hong, S.S.; Li, Y.; Cui, Y. Physical and chemical tuning of two-dimensional transition metal dichalcogenides. Chem. Soc. Rev. 2015, 44, 2664–2680. [Google Scholar] [CrossRef]
  140. Kang, M.; Kim, B.; Ryu, S.H.; Jung, S.W.; Kim, J.; Moreschini, L.; Jozwiak, C.; Rotenberg, E.; Bostwick, A.; Kim, K.S. Universal Mechanism of Band-Gap Engineering in Transition-Metal Dichalcogenides. Nano Lett. 2017, 17, 1610–1615. [Google Scholar] [CrossRef]
  141. Wei, G.; Stanev, T.K.; Czaplewski, D.A.; Jung, I.W.; Stern, N.P. Silicon-nitride photonic circuits interfaced with monolayer MoS2. Appl. Phys. Lett. 2015, 107, 091112. [Google Scholar] [CrossRef]
  142. Yang, S.; Liu, D.C.; Tan, Z.L.; Liu, K.; Zhu, Z.H.; Qin, S.Q. CMOS-compatible WS2-based all-optical modulator. Acs Photonics 2018, 5, 342–346. [Google Scholar] [CrossRef]
  143. Datta, I.; Chae, S.H.; Bhatt, G.R.; Tadayon, M.A.; Li, B.; Yu, Y.; Park, C.; Park, J.; Cao, L.; Basov, D.; et al. Low-loss composite photonic platform based on 2D semiconductor monolayers. Nat. Photonics 2020, 14, 256–262. [Google Scholar] [CrossRef]
  144. Zhao, Z.; Zhang, Z.; Li, J.; Shang, Z.; Wang, G.; Yin, J.; Chen, H.; Guo, K.; Yan, P. MoS2 hybrid integrated micro-ring resonator phase shifter based on a silicon nitride platform. Opt. Lett. 2022, 47, 949–952. [Google Scholar] [CrossRef] [PubMed]
  145. Pshenichnyuk, I.A.; Kosolobov, S.S.; Drachev, V.P. Towards Deep Integration of Electronics and Photonics. Appl. Sci. 2019, 9, 4834. [Google Scholar] [CrossRef]
  146. Betz, U.; Kharrazi Olsson, M.; Marthy, J.; Escolá, M.F.; Atamny, F. Thin films engineering of indium tin oxide: Large area flat panel displays application. Surf. Coatings Technol. 2006, 200, 5751–5759. [Google Scholar] [CrossRef]
  147. Maw, W.W. Capacitive Touch Screen. 1992. [Google Scholar]
  148. Dalapati, G.K.; Sharma, H.; Guchhait, A.; Chakrabarty, N.; Bamola, P.; Liu, Q.; Saianand, G.; Sai Krishna, A.M.; Mukhopadhyay, S.; Dey, A.; et al. Tin oxide for optoelectronic, photovoltaic and energy storage devices: A review. J. Mater. Chem. A 2021, 9, 16621–16684. [Google Scholar] [CrossRef]
  149. Chen, Y. Review of ZnO Transparent Conducting Oxides for solar applications. IOP Conf. Ser. Mater. Sci. Eng. 2018, 423, 012170. [Google Scholar] [CrossRef]
  150. Fernandez, S.; Gonzales, J.P.; Grandal, J.; Braña, A.F.; Gómez-Mancebo, M.B.; Gandía, J.J. Roles of Low Temperature Sputtered Indium Tin Oxide for Solar Photovoltaic Technology. Materials 2021, 14, 7758. [Google Scholar] [CrossRef]
  151. Neumann, F.; Genenko, Y.A.; Melzer, C.; Yampolskii, S.V.; Von Seggern, H. Self-consistent analytical solution of a problem of charge-carrier injection at a conductor/insulator interface. Phys. Rev. B—Condens. Matter Mater. Phys. 2007, 75, 205322. [Google Scholar] [CrossRef]
  152. Leuthold, J.; Freude, W.; Koos, C.; Melikyan, A.; Lindenmann, N. A surface plasmon polariton absorption modulator. Int. Conf. Transparent Opt. Networks 2011, 19, 8855–8869. [Google Scholar] [CrossRef]
  153. Swillam, M.A.; Zaki, A.O.; Kirah, K.; Shahada, L.A. On Chip Optical Modulator using Epsilon-Near-Zero Hybrid Plasmonic Platform. Sci. Rep. 2019, 9, 6669. [Google Scholar] [CrossRef]
  154. Shah, M.K.; Lu, R.; Liu, Y. Enhanced Performance of ITO-Assisted Electro-Absorption Optical Modulator Using Sidewall Angled Silicon Waveguide. IEEE Trans. Nanotechnol. 2018, 17, 412–418. [Google Scholar] [CrossRef]
  155. Lu, Z.; Zhao, W.; Shi, K. Ultracompact electroabsorption modulators based on tunable epsilon-near-zero-slot waveguides. IEEE Photonics J. 2012, 4, 735–740. [Google Scholar] [CrossRef]
  156. Babicheva, V.E.; Kinsey, N.; Naik, G.V.; Ferrera, M.; Lavrinenko, A.V.; Shalaev, V.M.; Boltasseva, A. Towards CMOS-compatible nanophotonics: Ultra-compact modulators using alternative plasmonic materials. Opt. Express 2013, 21, 27326. [Google Scholar] [CrossRef] [PubMed]
  157. Liu, X.; Zang, K.; Kang, J.H.; Park, J.; Harris, J.S.; Kik, P.G.; Brongersma, M.L. Epsilon-Near-Zero Si Slot-Waveguide Modulator. ACS Photonics 2018, 5, 4484–4490. [Google Scholar] [CrossRef]
  158. Lee, H.W.; Papadakis, G.; Burgos, S.P.; Chander, K.; Kriesch, A.; Pala, R.; Peschel, U.; Atwater, H.A. Nanoscale conducting oxide PlasMOStor. Nano Lett. 2014, 14, 6463–6468. [Google Scholar] [CrossRef]
  159. Yi, F.; Shim, E.; Zhu, A.Y.; Zhu, H.; Reed, J.C.; Cubukcu, E. Voltage tuning of plasmonic absorbers by indium tin oxide. Appl. Phys. Lett. 2013, 102. [Google Scholar] [CrossRef]
  160. Son, K.S.; Lim Choi, D.; Nyeon Lee, H.; Geon Lee, W. The interfacial reaction between ITO and silicon nitride deposited by PECVD in fringe field switching device. Curr. Appl. Phys. 2002, 2, 229–232. [Google Scholar] [CrossRef]
  161. Zhao, H.; Wang, Y.; Capretti, A.; Dal Negro, L.; Klamkin, J. Broadband electroabsorption modulators design based on epsilon-near-zero indium tin oxide. IEEE J. Sel. Top. Quantum Electron. 2015, 21, 192–198. [Google Scholar] [CrossRef]
  162. Bhowmik, T.; Chowdhary, A.K.; Kumar, A.; Sikdar, D. Guided-Mode Resonance based All-dielectric Optical Intensity Modulator. In 2021 IEEE Photonics Conference, IPC 2021—Proceedings; IEEE: Piscataway, NJ, USA, 2021. [Google Scholar] [CrossRef]
  163. Zheng, J.; Khanolkar, A.; Xu, P.; Colburn, S.; Deshmukh, S.; Myers, J.; Frantz, J.; Pop, E.; Hendrickson, J.; Doylend, J.; et al. GST-on-silicon hybrid nanophotonic integrated circuits: A non-volatile quasi-continuously reprogrammable platform. Opt. Mater. Express 2018, 8, 1551–1561. [Google Scholar] [CrossRef]
  164. Pérez, D.; Gasulla, I.; Mahapatra, P.D.; Capmany, J. Principles, fundamentals, and applications of programmable integrated photonics. Adv. Opt. Photonics 2020, 12, 709–786. [Google Scholar] [CrossRef]
  165. Feldmann, J.; Youngblood, N.; Wright, C.D.; Bhaskaran, H.; Pernice, W.H. All-optical spiking neurosynaptic networks with self-learning capabilities. Nature 2019, 569, 208–214. [Google Scholar] [CrossRef] [PubMed]
  166. Cil, K. Temperature Dependent Characterization and Crystallization Dynamics of Ge2Sb2Te5 Thin Films and Nanoscale Structures. Ph.D. Thesis, University of Connecticut, Storrs, CT, USA, 2015. [Google Scholar]
  167. Chakraborty, I.; Saha, G.; Sengupta, A.; Roy, K. Toward Fast Neural Computing Using All-Photonic Phase Change Spiking Neurons. Sci. Rep. 2018, 8, 12980. [Google Scholar] [CrossRef] [PubMed]
  168. Bogaerts, W.; Pérez, D.; Capmany, J.; Miller, D.A.; Poon, J.; Englund, D.; Morichetti, F.; Melloni, A. Programmable photonic circuits. Nature 2020, 586, 207–216. [Google Scholar] [CrossRef]
  169. Cheng, Z.; Ríos, C.; Youngblood, N.; Wright, C.D.; Pernice, W.H.; Bhaskaran, H. Device-level photonic memories and logic applications using phase-change materials. Adv. Mater. 2018, 30, 1802435. [Google Scholar] [CrossRef] [PubMed]
  170. Sacher, W.D.; Poon, J.K. Dynamics of microring resonator modulators. Opt. Express 2008, 16, 15741–15753. [Google Scholar] [CrossRef] [PubMed]
  171. Politi, A.; Matthews, J.C.; Thompson, M.G.; O’Brien, J.L. Integrated quantum photonics. IEEE J. Sel. Top. Quantum Electron. 2009, 15, 1673–1684. [Google Scholar] [CrossRef]
  172. Silverstone, J.W.; Wang, J.; Bonneau, D.; Sibson, P.; Santagati, R.; Erven, C.; O’Brien, J.; Thompson, M. Silicon quantum photonics. In Proceedings of the 2016 International Conference on Optical MEMS and Nanophotonics (OMN), Singapore, 31 July–4 August 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 1–2. [Google Scholar] [CrossRef]
  173. Capmany, J.; Gasulla, I.; Pérez, D. The programmable processor. Nat. Photonics 2016, 10, 6–8. [Google Scholar] [CrossRef]
  174. Rios, C.; Stegmaier, M.; Cheng, Z.; Youngblood, N.; Wright, C.D.; Pernice, W.H.; Bhaskaran, H. Controlled switching of phase-change materials by evanescent-field coupling in integrated photonics. Opt. Mater. Express 2018, 8, 2455–2470. [Google Scholar] [CrossRef]
  175. Wuttig, M.; Bhaskaran, H.; Taubner, T. Phase-change materials for non-volatile photonic applications. Nat. Photonics 2017, 11, 465–476. [Google Scholar] [CrossRef]
  176. Wuttig, M.; Yamada, N. Phase-change materials for rewriteable data storage. Nat. Mater. 2007, 6, 824–832. [Google Scholar] [CrossRef]
  177. Soref, R. Tutorial: Integrated-photonic switching structures. APL Photonics 2018, 3, 021101. [Google Scholar] [CrossRef]
  178. Raoux, S.; Xiong, F.; Wuttig, M.; Pop, E. Phase change materials and phase change memory. MRS Bull. 2014, 39, 703–710. [Google Scholar] [CrossRef]
  179. Li, P.; Yang, X.; Maß, T.W.; Hanss, J.; Lewin, M.; Michel, A.K.U.; Wuttig, M.; Taubner, T. Reversible optical switching of highly confined phonon–polaritons with an ultrathin phase-change material. Nat. Mater. 2016, 15, 870–875. [Google Scholar] [CrossRef] [PubMed]
  180. Cheng, H.; Hsu, T.; Raoux, S.; Wu, J.; Du, P.; Breitwisch, M.; Zhu, Y.; Lai, E.; Joseph, E.; Mittal, S.; et al. A high performance phase change memory with fast switching speed and high temperature retention by engineering the GexSbyTez phase change material. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; pp. 3.4.1–3.4.4. [Google Scholar] [CrossRef]
  181. Bruns, G.; Merkelbach, P.; Schlockermann, C.; Salinga, M.; Wuttig, M.; Happ, T.; Philipp, J.; Kund, M. Nanosecond switching in GeTe phase change memory cells. Appl. Phys. Lett. 2009, 95, 043108. [Google Scholar] [CrossRef]
  182. Ciocchini, N.; Laudato, M.; Boniardi, M.; Varesi, E.; Fantini, P.; Lacaita, A.L.; Ielmini, D. Bipolar switching in chalcogenide phase change memory. Sci. Rep. 2016, 6, 29162. [Google Scholar] [CrossRef]
  183. Xu, P.; Zheng, J.; Doylend, J.K.; Majumdar, A. Low-loss and broadband nonvolatile phase-change directional coupler switches. ACS Photonics 2019, 6, 553–557. [Google Scholar] [CrossRef]
  184. Farmakidis, N.; Youngblood, N.; Li, X.; Tan, J.; Swett, J.L.; Cheng, Z.; Wright, C.D.; Pernice, W.H.; Bhaskaran, H. Plasmonic nanogap enhanced phase-change devices with dual electrical-optical functionality. Sci. Adv. 2019, 5, eaaw2687. [Google Scholar] [CrossRef]
  185. Ríos, C.; Stegmaier, M.; Hosseini, P.; Wang, D.; Scherer, T.; Wright, C.D.; Bhaskaran, H.; Pernice, W.H. Integrated all-photonic non-volatile multi-level memory. Nat. Photonics 2015, 9, 725–732. [Google Scholar] [CrossRef]
  186. Zhang, Y.; Chou, J.B.; Li, J.; Li, H.; Du, Q.; Yadav, A.; Zhou, S.; Shalaginov, M.Y.; Fang, Z.; Zhong, H.; et al. Broadband transparent optical phase change materials for high-performance nonvolatile photonics. Nat. Commun. 2019, 10, 4279. [Google Scholar] [CrossRef]
  187. Faneca, J.; Carrillo, S.G.C.; Gemo, E.; de Galarreta, C.R.; Bucio, T.D.; Gardes, F.Y.; Bhaskaran, H.; Pernice, W.H.; Wright, C.D.; Baldycheva, A. Performance characteristics of phase-change integrated silicon nitride photonic devices in the O and C telecommunications bands. Opt. Mater. Express 2020, 10, 1778–1791. [Google Scholar] [CrossRef]
  188. Gemo, E.; Carrillo, S.G.C.; De Galarreta, C.R.; Baldycheva, A.; Hayat, H.; Youngblood, N.; Bhaskaran, H.; Pernice, W.H.; Wright, C.D. Plasmonically-enhanced all-optical integrated phase-change memory. Opt. Express 2019, 27, 24724–24737. [Google Scholar] [CrossRef]
  189. Shen, Y.; Harris, N.C.; Skirlo, S.; Prabhu, M.; Baehr-Jones, T.; Hochberg, M.; Sun, X.; Zhao, S.; Larochelle, H.; Englund, D.; et al. Deep learning with coherent nanophotonic circuits. Nat. Photonics 2017, 11, 441–446. [Google Scholar] [CrossRef]
  190. Li, X.; Youngblood, N.; Ríos, C.; Cheng, Z.; Wright, C.D.; Pernice, W.H.; Bhaskaran, H. Fast and reliable storage using a 5 bit, nonvolatile photonic memory cell. Optica 2019, 6, 1–6. [Google Scholar] [CrossRef]
  191. Fantini, A.; Perniola, L.; Armand, M.; Nodin, J.; Sousa, V.; Persico, A.; Cluzel, J.; Jahan, C.; Maitrejean, S.; Lhostis, S.; et al. Comparative assessment of GST and GeTe materials for application to embedded phase-change memory devices. In Proceedings of the 2009 IEEE International Memory Workshop, Monterey, CA, USA, 10–14 May 2009; IEEE: Piscataway, NJ, USA, 2009; pp. 1–2. [Google Scholar] [CrossRef]
  192. Lu, Y.; Stegmaier, M.; Nukala, P.; Giambra, M.A.; Ferrari, S.; Busacca, A.; Pernice, W.H.; Agarwal, R. Mixed-mode operation of hybrid phase-change nanophotonic circuits. Nano Lett. 2017, 17, 150–155. [Google Scholar] [CrossRef] [PubMed]
  193. Von Keitz, J.; Feldmann, J.; Gruhler, N.; Ríos, C.; Wright, C.D.; Bhaskaran, H.; Pernice, W.H. Reconfigurable nanophotonic cavities with nonvolatile response. ACS Photonics 2018, 5, 4644–4649. [Google Scholar] [CrossRef]
  194. Stegmaier, M.; Rıos, C.; Bhaskaran, H.; Pernice, W.H. Thermo-optical effect in phase-change nanophotonics. ACS Photonics 2016, 3, 828–835. [Google Scholar] [CrossRef]
  195. Feldmann, J.; Stegmaier, M.; Gruhler, N.; Ríos, C.; Bhaskaran, H.; Wright, C.; Pernice, W. Calculating with light using a chip-scale all-optical abacus. Nat. Commun. 2017, 8, 1256. [Google Scholar] [CrossRef] [PubMed]
  196. Feldmann, J.; Youngblood, N.; Karpov, M.; Gehring, H.; Li, X.; Stappers, M.; Le Gallo, M.; Fu, X.; Lukashchuk, A.; Raja, A.S.; et al. Parallel convolutional processing using an integrated photonic tensor core. Nature 2021, 589, 52–58. [Google Scholar] [CrossRef] [PubMed]
  197. Brückerhoff-Plückelmann, F.; Feldmann, J.; Gehring, H.; Zhou, W.; Wright, C.D.; Bhaskaran, H.; Pernice, W. Broadband photonic tensor core with integrated ultra-low crosstalk wavelength multiplexers. Nanophotonics 2022. [Google Scholar] [CrossRef]
  198. Pérez, D.; Gasulla, I.; Crudgington, L.; Thomson, D.J.; Khokhar, A.Z.; Li, K.; Cao, W.; Mashanovich, G.Z.; Capmany, J. Multipurpose silicon photonics signal processor core. Nat. Commun. 2017, 8, 636. [Google Scholar] [CrossRef]
  199. Nahmias, M.A.; De Lima, T.F.; Tait, A.N.; Peng, H.T.; Shastri, B.J.; Prucnal, P.R. Photonic multiply-accumulate operations for neural networks. IEEE J. Sel. Top. Quantum Electron. 2019, 26, 1–18. [Google Scholar] [CrossRef]
  200. Li, X.; Youngblood, N.; Cheng, Z.; Carrillo, S.G.C.; Gemo, E.; Pernice, W.H.; Wright, C.D.; Bhaskaran, H. Experimental investigation of silicon and silicon nitride platforms for phase-change photonic in-memory computing. Optica 2020, 7, 218–225. [Google Scholar] [CrossRef]
  201. Sebastian, A.; Le Gallo, M.; Burr, G.W.; Kim, S.; BrightSky, M.; Eleftheriou, E. Tutorial: Brain-inspired computing using phase-change memory devices. J. Appl. Phys. 2018, 124, 111101. [Google Scholar] [CrossRef]
  202. Faneca, J.; Domínguez Bucio, T.; Gardes, F.Y.; Baldycheva, A. O-band N-rich silicon nitride MZI based on GST. Appl. Phys. Lett. 2020, 116, 093502. [Google Scholar] [CrossRef]
  203. Fang, Z.; Zheng, J.; Saxena, A.; Whitehead, J.; Chen, Y.; Majumdar, A. Non-Volatile Reconfigurable Integrated Photonics Enabled by Broadband Low-Loss Phase Change Material. Adv. Opt. Mater. 2021, 9, 2002049. [Google Scholar] [CrossRef]
  204. Faneca, J.; Trimby, L.; Zeimpekis, I.; Delaney, M.; Hewak, D.W.; Gardes, F.Y.; Wright, C.D.; Baldycheva, A. On-chip sub-wavelength Bragg grating design based on novel low loss phase-change materials. Opt. Express 2020, 28, 16394–16406. [Google Scholar] [CrossRef]
  205. Delaney, M.; Zeimpekis, I.; Lawson, D.; Hewak, D.W.; Muskens, O.L. A new family of ultralow loss reversible phase-change materials for photonic integrated circuits: Sb2S3 and Sb2Se3. Adv. Funct. Mater. 2020, 30, 2002447. [Google Scholar] [CrossRef]
  206. Dong, W.; Liu, H.; Behera, J.K.; Lu, L.; Ng, R.J.; Sreekanth, K.V.; Zhou, X.; Yang, J.K.; Simpson, R.E. Wide bandgap phase change material tuned visible photonics. Adv. Funct. Mater. 2019, 29, 1806181. [Google Scholar] [CrossRef]
  207. Faneca, J.; Zeimpekis, I.; Ilie, S.; Domínguez Bucio, T.; Grabska, K.; Hewak, D.W.; Gardes, F.Y. Towards low loss non-volatile phase change materials in mid index waveguides. Neuromorphic Comput. Eng. 2021, 1, 014004. [Google Scholar] [CrossRef]
  208. Delaney, M.; Zeimpekis, I.; Du, H.; Yan, X.; Banakar, M.; Thomson, D.J.; Hewak, D.W.; Muskens, O.L. Nonvolatile programmable silicon photonics using an ultralow-loss Sb2Se3 phase change material. Sci. Adv. 2021, 7, eabg3500. [Google Scholar] [CrossRef]
  209. Redaelli, A.; Pirovano, A.; Benvenuti, A.; Lacaita, A.L. Threshold switching and phase transition numerical models for phase change memory simulations. J. Appl. Phys. 2008, 103, 6. [Google Scholar] [CrossRef]
  210. Zheng, J.; Fang, Z.; Wu, C.; Zhu, S.; Xu, P.; Doylend, J.K.; Deshmukh, S.; Pop, E.; Dunham, S.; Li, M.; et al. Nonvolatile electrically reconfigurable integrated photonic switch enabled by a silicon PIN diode heater. Adv. Mater. 2020, 32, 2001218. [Google Scholar] [CrossRef] [PubMed]
  211. Cen, Z.; Chen, T.; Ding, L.; Liu, Y.; Wong, J.; Yang, M.; Liu, Z.; Goh, W.; Zhu, F.; Fung, S. Evolution of electroluminescence from multiple Si-implanted silicon nitride films with thermal annealing. J. Appl. Phys. 2009, 105, 123101. [Google Scholar] [CrossRef]
  212. Cen, Z.H.; Chen, T.; Ding, L.; Liu, Y.; Wong, J.I.; Yang, M.; Liu, Z.; Goh, W.P.; Zhu, F.R.; Fung, S. Strong violet and green-yellow electroluminescence from silicon nitride thin films multiply implanted with Si ions. Appl. Phys. Lett. 2009, 94, 041102. [Google Scholar] [CrossRef]
  213. Ríos, C.; Zhang, Y.; Shalaginov, M.Y.; Deckoff-Jones, S.; Wang, H.; An, S.; Zhang, H.; Kang, M.; Richardson, K.A.; Roberts, C.; et al. Multi-level electro-thermal switching of optical phase-change materials using graphene. Adv. Photonics Res. 2021, 2, 2000034. [Google Scholar] [CrossRef]
  214. Zheng, J.; Zhu, S.; Xu, P.; Dunham, S.; Majumdar, A. Modeling electrical switching of nonvolatile phase-change integrated nanophotonic structures with graphene heaters. ACS Appl. Mater. Interfaces 2020, 12, 21827–21836. [Google Scholar] [CrossRef]
  215. Faneca, J.; Meyer, S.; Gardes, F.; Chigrin, D. Graphene microheater for phase change chalcogenides based integrated photonic components. Opt. Mater. Express 2022, 1, 12. [Google Scholar] [CrossRef]
  216. Rahim, A.; Ryckeboer, E.; Subramanian, A.Z.; Kuyken, B.; Dhakal, A.; Raza, A.; Hermans, A.; Muneeb, M.; Li, Y.; Dave, U.; et al. Expanding the Silicon Photonics Portfolio with Silicon Nitride Photonic Integrated Circuits. J. Light. Technol. 2017, 35, 639–649. [Google Scholar] [CrossRef]
  217. Haeiwa, H.; Naganawa, T.; Kokubun, Y. Wide Range Center Wavelength Trimming of Vertically Coupled Microring Resonator Filter by Direct UV Irradiation to SiN Ring Core. IEEE Photonics Technol. Lett. 2004, 16, 135–137. [Google Scholar] [CrossRef]
  218. De Paoli, G.; Jantzen, S.L.; Dominguez Bucio, T.; Skandalos, I.; Holmes, C.; Smith, P.G.R.; Milosevic, M.M.; Gardes, F.Y. Laser trimming of the operating wavelength of silicon nitride racetrack resonators. Photonics Res. 2020, 8, 677. [Google Scholar] [CrossRef]
  219. Zhou, L.; Okamoto, K.; Yoo, S.J.B. Athermalizing and Trimming of Slotted Silicon Microring Resonators with UV-Sensitive PMMA Upper-Cladding. IEEE Photonics Technol. Lett. 2009, 21, 1175–1177. [Google Scholar] [CrossRef]
  220. Sparacin, D.K.; Hong, C.Y.; Kimerling, L.C.; Michel, J.; Lock, J.P.; Gleason, K.K. Trimming of microring resonators by photo-oxidation of a plasma-polymerized organosilane cladding material. Opt. Lett. 2005, 30, 2251–2253. [Google Scholar] [CrossRef] [PubMed]
  221. Xie, Y.; Frankis, H.C.; Bradley, J.D.B.; Knights, A.P. Post-fabrication resonance trimming of Si3N4 photonic circuits via localized thermal annealing of a sputter-deposited SiO2 cladding. Opt. Mater. Express 2021, 11, 2401–2412. [Google Scholar] [CrossRef]
  222. Heck, M.J.; Bowers, J.E. Energy efficient and energy proportional optical interconnects for multi-core processors: Driving the need for on-chip sources. IEEE J. Sel. Top. Quantum Electron. 2013, 20, 332–343. [Google Scholar] [CrossRef]
  223. Rickman, A. The commercialization of silicon photonics. Nat. Photonics 2014, 8, 579–582. [Google Scholar] [CrossRef]
  224. Tang, M.; Park, J.S.; Wang, Z.; Chen, S.; Jurczak, P.; Seeds, A.; Liu, H. Integration of III-V lasers on Si for Si photonics. Prog. Quantum Electron. 2019, 66, 1–18. [Google Scholar] [CrossRef]
  225. Wirths, S.; Geiger, R.; Von Den Driesch, N.; Mussler, G.; Stoica, T.; Mantl, S.; Ikonic, Z.; Luysberg, M.; Chiussi, S.; Hartmann, J.M.; et al. Lasing in direct-bandgap GeSn alloy grown on Si. Nat. Photonics 2015, 9, 88–92. [Google Scholar] [CrossRef]
  226. Fadaly, E.M.; Dijkstra, A.; Suckert, J.R.; Ziss, D.; van Tilburg, M.A.; Mao, C.; Ren, Y.; van Lange, V.T.; Korzun, K.; Kölling, S.; et al. Direct-bandgap emission from hexagonal Ge and SiGe alloys. Nature 2020, 580, 205–209. [Google Scholar] [CrossRef]
  227. Wirths, S.; Buca, D.; Mantl, S. Si–Ge–Sn alloys: From growth to applications. Prog. Cryst. Growth Charact. Mater. 2016, 62, 1–39. [Google Scholar] [CrossRef]
  228. Zhou, Z.; Yin, B.; Michel, J. On-chip light sources for silicon photonics. Light. Sci. Appl. 2015, 4, e358. [Google Scholar] [CrossRef]
  229. Malik, A.; Xiang, C.; Chang, L.; Jin, W.; Guo, J.; Tran, M.; Bowers, J. Low noise, tunable silicon photonic lasers. Appl. Phys. Rev. 2021, 8, 031306. [Google Scholar] [CrossRef]
  230. Arbabi, A.; Goddard, L.L. Measurements of the refractive indices and thermo-optic coefficients of Si 3 N 4 and SiO x using microring resonances. Opt. Lett. 2013, 38, 3878–3881. [Google Scholar] [CrossRef] [PubMed]
  231. Komma, J.; Schwarz, C.; Hofmann, G.; Heinert, D.; Nawrodt, R. Thermo-optic coefficient of silicon at 1550 nm and cryogenic temperatures. Appl. Phys. Lett. 2012, 101, 041905. [Google Scholar] [CrossRef]
  232. Tsang, H.K.; Wong, C.S.; Liang, T.K.; Day, I.; Roberts, S.; Harpin, A.; Drake, J.; Asghari, M. Optical dispersion, two-photon absorption and self-phase modulation in silicon waveguides at 1.5 μm wavelength. Appl. Phys. Lett. 2002, 80, 416–418. [Google Scholar] [CrossRef]
  233. Wasisto, H.S.; Prades, J.D.; Gülink, J.; Waag, A. Beyond solid-state lighting: Miniaturization, hybrid integration, and applications of GaN nano-and micro-LEDs. Appl. Phys. Rev. 2019, 6, 041315. [Google Scholar] [CrossRef]
  234. Yanikgonul, S.; Leong, V.; Ong, J.R.; Hu, T.; Siew, S.Y.; Png, C.E.; Krivitsky, L. Integrated avalanche photodetectors for visible light. Nat. Commun. 2021, 12, 1834. [Google Scholar] [CrossRef]
  235. Terris, B.; Mamin, H.; Rugar, D. Near-field optical data storage. Appl. Phys. Lett. 1996, 68, 141–143. [Google Scholar] [CrossRef]
  236. Kumari, S.; Gustavsson, J.S.; Wang, R.; Haglund, E.P.; Westbergh, P.; Sanchez, D.; Haglund, E.; Haglund, Å.; Bengtsson, J.; Le Thomas, N.; et al. Integration of GaAs-based VCSEL array on SiN platform with HCG reflectors for WDM applications. In High Contrast Metastructures IV; SPIE: Bellingham, WA, USA, 2015; Volume 9372, pp. 142–148. [Google Scholar] [CrossRef]
  237. Kumari, S.; Haglund, E.P.; Gustavsson, J.S.; Larsson, A.; Roelkens, G.; Baets, R.G. Vertical-Cavity Silicon-Integrated Laser with In-Plane Waveguide Emission at 850 nm. Laser Photonics Rev. 2018, 12, 1700206. [Google Scholar] [CrossRef]
  238. Kumari, S.; Gustavsson, J.; Haglund, E.P.; Bengtsson, J.; Larsson, A.; Roelkens, G.; Baets, R. Design of an 845-nm GaAs vertical-cavity silicon-integrated laser with an intracavity grating for coupling to a SiN waveguide circuit. IEEE Photonics J. 2017, 9, 1–9. [Google Scholar] [CrossRef]
  239. Roelkens, G.; Haglund, E.P.; Kumari, S.; Haglund, E.; Gustavsson, J.S.; Baets, R.; Larsson, A. 850 nm hybrid vertical cavity laser integration for on-chip silicon photonics light sources. In Optical Fiber Communication Conference; Optical Society of America: Bellingham, WA, USA, 2017; p. W3E-6. [Google Scholar] [CrossRef]
  240. Morin, T.J.; Chang, L.; Jin, W.; Li, C.; Guo, J.; Park, H.; Tran, M.A.; Komljenovic, T.; Bowers, J.E. CMOS-foundry-based blue and violet photonics. Optica 2021, 8, 755–756. [Google Scholar] [CrossRef]
  241. Arefin, R.; Ramachandra, S.H.; Jung, H.; You, W.; Hasan, S.M.; Turski, H.; Dwivedi, S.; Arafin, S. III-N/Si3N4 Integrated Photonics Platform for Blue Wavelengths. IEEE J. Quantum Electron. 2020, 56, 1–9. [Google Scholar] [CrossRef]
  242. Lin, H.; Luo, Z.; Gu, T.; Kimerling, L.C.; Wada, K.; Agarwal, A.; Hu, J. Mid-infrared integrated photonics on silicon: A perspective. Nanophotonics 2018, 7, 393–420. [Google Scholar] [CrossRef]
  243. Shim, E.; Gil-Molina, A.; Westreich, O.; Dikmelik, Y.; Lascola, K.; Gaeta, A.L.; Lipson, M. Tunable single-mode chip-scale mid-infrared laser. Commun. Phys. 2021, 4, 268. [Google Scholar] [CrossRef]
  244. Iadanza, S.; Bakoz, A.P.; Singaravelu, P.K.; Panettieri, D.; Schulz, S.; Devarapu, G.C.R.; Guerber, S.; Baudot, C.; Boeuf, F.; Hegarty, S.; et al. Thermally stable hybrid cavity laser based on silicon nitride gratings. Appl. Opt. 2018, 57, E218–E223. [Google Scholar] [CrossRef] [PubMed]
  245. de Beeck, C.O.; Haq, B.; Elsinger, L.; Gocalinska, A.; Pelucchi, E.; Corbett, B.; Roelkens, G.; Kuyken, B. Heterogeneous III-V on silicon nitride amplifiers and lasers via microtransfer printing. Optica 2020, 7, 386–393. [Google Scholar] [CrossRef]
  246. Xiang, C.; Jin, W.; Guo, J.; Peters, J.D.; Kennedy, M.; Selvidge, J.; Morton, P.A.; Bowers, J.E. Narrow-linewidth III-V/Si/Si3N4 laser using multilayer heterogeneous integration. Optica 2020, 7, 20–21. [Google Scholar] [CrossRef]
  247. Park, H.; Zhang, C.; Tran, M.A.; Komljenovic, T. Heterogeneous silicon nitride photonics. Optica 2020, 7, 336–337. [Google Scholar] [CrossRef]
  248. Xiang, C.; Morton, P.A.; Bowers, J.E. 1550 nm laser with 320 Hz Lorentzian linewidth based on semiconductor gain chip and extended Si3N4 Bragg grating. In Science and Innovations; Optical Society of America: Bellingham, WA, USA, 2019; p. SW4N–6. [Google Scholar] [CrossRef]
  249. Fan, Y.; van Rees, A.; Van der Slot, P.J.; Mak, J.; Oldenbeuving, R.M.; Hoekman, M.; Geskus, D.; Roeloffzen, C.G.; Boller, K.J. Hybrid integrated InP-Si3N4 diode laser with a 40-Hz intrinsic linewidth. Opt. Express 2020, 28, 21713–21728. [Google Scholar] [CrossRef]
  250. Stern, B.; Ji, X.; Dutt, A.; Lipson, M. Compact narrow-linewidth integrated laser based on a low-loss silicon nitride ring resonator. Opt. Lett. 2017, 42, 4541–4544. [Google Scholar] [CrossRef]
  251. Theurer, M.; Moehrle, M.; Sigmund, A.; Velthaus, K.O.; Oldenbeuving, R.M.; Wevers, L.; Postma, F.M.; Mateman, R.; Schreuder, F.; Geskus, D.; et al. Flip-chip integration of InP to SiN photonic integrated circuits. J. Light. Technol. 2020, 38, 2630–2636. [Google Scholar] [CrossRef]
  252. Stern, B.; Ji, X.; Okawachi, Y.; Gaeta, A.L.; Lipson, M. Battery-operated integrated frequency comb generator. Nature 2018, 562, 401–405. [Google Scholar] [CrossRef] [PubMed]
  253. Spencer, D.T.; Drake, T.; Briles, T.C.; Stone, J.; Sinclair, L.C.; Fredrick, C.; Li, Q.; Westly, D.; Ilic, B.R.; Bluestone, A.; et al. An optical-frequency synthesizer using integrated photonics. Nature 2018, 557, 81–85. [Google Scholar] [CrossRef] [PubMed]
  254. Fan, Y.; Oldenbeuving, R.M.; Klein, E.J.; Lee, C.J.; Song, H.; Khan, M.R.; Offerhaus, H.L.; van der Slot, P.J.; Boller, K.J. A hybrid semiconductor-glass waveguide laser. In Laser Sources and Applications II; SPIE: Bellingham, WA, USA, 2014; Volume 9135, pp. 231–236. [Google Scholar] [CrossRef]
  255. De Beeck, C.O.; Elsinger, L.; Haq, B.; Roelkens, G.; Kuyken, B. Heterogeneously integrated laser on a silicon nitride platform via micro-transfer printing. In Frontiers in Optics; Optical Society of America: Bellingham, WA, USA, 2019; p. FTu6B–1. [Google Scholar] [CrossRef]
  256. Cuyvers, S.; Haq, B.; Op de Beeck, C.; Poelman, S.; Hermans, A.; Wang, Z.; Gocalinska, A.; Pelucchi, E.; Corbett, B.; Roelkens, G.; et al. Low Noise Heterogeneous III-V-on-Silicon-Nitride Mode-Locked Comb Laser. Laser Photonics Rev. 2021, 15, 2000485. [Google Scholar] [CrossRef]
  257. Oldenbeuving, R.; Klein, E.; Offerhaus, H.L.; Lee, C.J.; Song, H.; Boller, K.J. 25 kHz narrow spectral bandwidth of a wavelength tunable diode laser with a short waveguide-based external cavity. Laser Phys. Lett. 2012, 10, 015804. [Google Scholar] [CrossRef]
  258. Li, J.; Zhang, B.; Yang, S.; Chen, H.; Chen, M. Robust hybrid laser linewidth reduction using Si3N4-based subwavelength hole defect assisted microring reflector. Photonics Res. 2021, 9, 558–566. [Google Scholar] [CrossRef]
  259. Yang, Y.; Zhao, H.; Ren, X. Monolithic Silicon-based Active Photonic Integration with Specially Designed III/V Laser and Si3N4 Interlayer Optical Coupler. In Proceedings of the 2020 Asia Communications and Photonics Conference (ACP) and International Conference on Information Photonics and Optical Communications (IPOC), Beijing, China, 24–27 October 2020; IEEE: Piscataway, NJ, USA, 2020; pp. 1–3. [Google Scholar] [CrossRef]
  260. Yang, Y.; Zhao, H.; Ren, X.; Huang, Y. Monolithic integration of laser onto multilayer silicon nitride photonic integrated circuits with high efficiency at telecom wavelength. Opt. Express 2021, 29, 28912–28923. [Google Scholar] [CrossRef]
  261. Zhu, Y.; Zeng, S.; Zhu, L. Optical beam steering by using tunable, narrow-linewidth butt-coupled hybrid lasers in a silicon nitride photonics platform. Photonics Res. 2020, 8, 375–380. [Google Scholar] [CrossRef]
  262. Zhu, Y.; Zhu, L. Narrow-linewidth, tunable external cavity dual-band diode lasers through InP/GaAs-Si3N4 hybrid integration. Opt. Express 2019, 27, 2354–2362. [Google Scholar] [CrossRef]
  263. Lin, Y.; Browning, C.; Timens, R.B.; Geuzebroek, D.H.; Roeloffzen, C.G.; Hoekman, M.; Geskus, D.; Oldenbeuving, R.M.; Heideman, R.G.; Fan, Y.; et al. Characterization of hybrid InP-TriPleX photonic integrated tunable lasers based on silicon nitride (Si3N4/SiO2) microring resonators for optical coherent system. IEEE Photonics J. 2018, 10, 1–8. [Google Scholar] [CrossRef]
  264. Li, Y.; Zhang, Y.; Chen, H.; Yang, S.; Chen, M. Tunable self-injected Fabry–Perot laser diode coupled to an external high-Q Si3N4/SiO2 microring resonator. J. Light. Technol. 2018, 36, 3269–3274. [Google Scholar] [CrossRef]
  265. Bovington, J.; Heck, M.; Bowers, J. Heterogeneous lasers and coupling to Si 3 N 4 near 1060 nm. Opt. Lett. 2014, 39, 6017–6020. [Google Scholar] [CrossRef] [PubMed]
  266. Guo, Y.; Zhao, R.; Zhou, G.; Lu, L.; Stroganov, A.; Nisar, M.S.; Chen, J.; Zhou, L. Thermally Tuned High-Performance III-V/Si3N4 External Cavity Laser. IEEE Photonics J. 2021, 13, 1–13. [Google Scholar] [CrossRef]
  267. Siddharth, A.; Wunderer, T.; Lihachev, G.; Voloshin, A.S.; Haller, C.; Wang, R.N.; Teepe, M.; Yang, Z.; Liu, J.; Riemensberger, J.; et al. Near ultraviolet photonic integrated lasers based on silicon nitride. arXiv 2021, arXiv:2112.02372. [Google Scholar] [CrossRef]
  268. Vissers, E.; Poelman, S.; de Beeck, C.O.; Van Gasse, K.; Kuyken, B. Hybrid integrated mode-locked laser diodes with a silicon nitride extended cavity. Opt. Express 2021, 29, 15013–15022. [Google Scholar] [CrossRef]
  269. Ibrahimi, Y.; Boust, S.; Wilmart, Q.; Paret, J.F.; Garreau, A.; Mekhazni, K.; Fortin, C.; Duport, F.; Fedeli, J.M.; Sciancalepore, C.; et al. Low FSR Mode-Locked Laser Based on InP-Si3N4 Hybrid Integration. J. Light. Technol. 2021, 39, 7573–7580. [Google Scholar] [CrossRef]
  270. Hermans, A.; Van Gasse, K.; Kjellman, J.Ø.; Caër, C.; Nakamura, T.; Inada, Y.; Hisada, K.; Hirasawa, T.; Cuyvers, S.; Kumari, S.; et al. High-pulse-energy III-V-on-silicon-nitride mode-locked laser. APL Photonics 2021, 6, 096102. [Google Scholar] [CrossRef]
  271. Goyvaerts, J.; Grabowski, A.; Gustavsson, J.; Kumari, S.; Stassen, A.; Baets, R.; Larsson, A.; Roelkens, G. Enabling VCSEL-on-silicon nitride photonic integrated circuits with micro-transfer-printing. Optica 2021, 8, 1573–1580. [Google Scholar] [CrossRef]
  272. Bastiaens, H.; Neijts, G.; Memon, A.; Fan, Y.; Mak, J.; Geskus, D.; Hoekman, M.; Moskalenko, V.; Bente, E.; Boller, K.J. First demonstration of a hybrid integrated InP-Si3N4 diode laser for broadband optical frequency comb generation. In Novel In-Plane Semiconductor Lasers XX; International Society for Optics and Photonics: Bellingham, WA, USA, 2021; Volume 11705, p. 1170508. [Google Scholar] [CrossRef]
  273. Van Rees, A.; Fan, Y.; Geskus, D.; Klein, E.J.; Oldenbeuving, R.M.; Van Der Slot, P.J.; Boller, K.J. Ring resonator enhanced mode-hop-free wavelength tuning of an integrated extended-cavity laser. Opt. Express 2020, 28, 5669–5683. [Google Scholar] [CrossRef]
  274. Epping, J.P.; Leinse, A.; Oldenbeuving, R.M.; Visscher, I.; Geuzebroek, D.; Geskus, D.; van Rees, A.; Boller, K.J.; Theurer, M.; Möhrle, M.; et al. Hybrid integrated silicon nitride lasers. In Physics and Simulation of Optoelectronic Devices XXVIII; International Society for Optics and Photonics: Bellingham, WA, USA, 2020; Volume 11274, p. 112741L. [Google Scholar] [CrossRef]
  275. Boust, S.; El Dirani, H.; Youssef, L.; Robert, Y.; Larrue, A.; Petit-Etienne, C.; Vinet, E.; Kerdiles, S.; Pargon, E.; Faugeron, M.; et al. Microcomb source based on InP DFB/Si3N4 microring butt-coupling. J. Light. Technol. 2020, 38, 5517–5525. [Google Scholar] [CrossRef]
  276. Boust, S.; Ibrahimi, Y.; Paret, J.; Garreau, A.; Mekhazni, K.; Fortin, C.; Duport, F.; Vallet, M.; Fedeli, J.; van Dijk, F. Single-mode and multi-mode DBR lasers using InP-Si3N4/SiO2 integration. In Proceedings of the ECIO2020, European Conference on Integrated Optics (ECIO), Paris, France, 25 June 2020; p. S7. [Google Scholar]
  277. Theurer, M.; Moehrle, M.; Sigmund, A.; Velthaus, K.O.; Oldenbeuving, R.; Wevers, L.; Postma, F.; Mateman, R.; Schreuder, F.; Geskus, D.; et al. Flip-chip integration of InP and SiN. IEEE Photonics Technol. Lett. 2019, 31, 273–276. [Google Scholar] [CrossRef]
  278. Gallacher, K.; Sinclair, M.; Millar, R.W.; Sharp, O.; Mirando, F.; Ternent, G.; Mills, G.; Casey, B.; Paul, D.J. Integrated DFB lasers on Si3N4 photonic platform for chip-scale atomic systems. In Proceedings of the 2019 Conference on Lasers and Electro-Optics (CLEO), San Jose, CA, USA, 5–10 May 2019; IEEE: Piscataway, NJ, USA, 2019; pp. 1–2. [Google Scholar] [CrossRef]
  279. Fan, Y.; Oldenbeuving, R.M.; Roeloffzen, C.G.; Hoekman, M.; Geskus, D.; Heideman, R.G.; Boller, K.J. 290 Hz intrinsic linewidth from an integrated optical chip-based widely tunable InP-Si3N4 hybrid laser. In CLEO: QELS_Fundamental Science; Optical Society of America: Bellingham, WA, USA, 2017; p. JTh5C–9. [Google Scholar]
  280. Fan, Y.; Epping, J.P.; Oldenbeuving, R.M.; Roeloffzen, C.G.; Hoekman, M.; Dekker, R.; Heideman, R.G.; van der Slot, P.J.; Boller, K.J. Optically Integrated InP–Si3N4 Hybrid Laser. IEEE Photonics J. 2016, 8, 1–11. [Google Scholar] [CrossRef]
  281. Smit, M.; Williams, K.; Van Der Tol, J. Past, present, and future of InP-based photonic integration. APL Photonics 2019, 4, 050901. [Google Scholar] [CrossRef]
  282. Wei, W.; Feng, Q.; Wang, Z.; Wang, T.; Zhang, J. Perspective: Optically-pumped III–V quantum dot microcavity lasers via CMOS compatible patterned Si (001) substrates. J. Semicond. 2019, 40, 101303. [Google Scholar] [CrossRef]
  283. Jin, W.; Yang, Q.F.; Chang, L.; Shen, B.; Wang, H.; Leal, M.A.; Wu, L.; Gao, M.; Feshali, A.; Paniccia, M.; et al. Hertz-linewidth semiconductor lasers using CMOS-ready ultra-high-Q microresonators. Nat. Photonics 2021, 15, 346–353. [Google Scholar] [CrossRef]
  284. Kharas, D.; Plant, J.J.; Loh, W.; Swint, R.B.; Bramhavar, S.; Heidelberger, C.; Yegnanarayanan, S.; Juodawlkis, P.W. High-power (>300 mW) on-chip laser with passively aligned silicon-nitride waveguide DBR cavity. IEEE Photonics J. 2020, 12, 1–12. [Google Scholar] [CrossRef]
  285. Jing, Q.; Li, J.; Zhang, B.; Li, Y.; Yang, S.; Chen, H.; Chen, M. The wavelength tuning performance of narrow linewidth laser-diode coupled to an external high-Q Si3N4 micro-ring resonator. In Semiconductor Lasers and Applications XI; SPIE: Bellingham, WA, USA, 2021; Volume 11891, pp. 152–156. [Google Scholar] [CrossRef]
  286. Pfeifle, J.; Brasch, V.; Lauermann, M.; Yu, Y.; Wegner, D.; Herr, T.; Hartinger, K.; Schindler, P.; Li, J.; Hillerkuss, D.; et al. Coherent terabit communications with microresonator Kerr frequency combs. Nat. Photonics 2014, 8, 375–380. [Google Scholar] [CrossRef]
  287. Kuse, N.; Fermann, M.E. Frequency-modulated comb LIDAR. APL Photonics 2019, 4. [Google Scholar] [CrossRef]
  288. Galli, I.; de Cumis, M.S.; Cappelli, F.; Bartalini, S.; Mazzotti, D.; Borri, S.; Montori, A.; Akikusa, N.; Yamanishi, M.; Giusfredi, G.; et al. Comb-assisted subkilohertz linewidth quantum cascade laser for high-precision mid-infrared spectroscopy. Appl. Phys. Lett. 2013, 102, 121117. [Google Scholar] [CrossRef]
  289. Qin-Fang, X.; Mo-Juan, Y.; De-Huan, K.; Ye-Bing, W.; Ben-Quan, L.; Yang, G.; Hong, C. Optical frequency comb active filtering and amplification for second cooling laser of strontium optical clock. Acta Phys. Sin. 2018, 67. [Google Scholar] [CrossRef]
  290. Yu, L.; Lu, D.; Pan, B.; Zhang, L.; Guo, L.; Li, Z.; Zhao, L.J. Widely tunable narrow-linewidth lasers using self-injection DBR lasers. IEEE Photonics Technol. Lett. 2014, 27, 50–53. [Google Scholar] [CrossRef]
  291. Faugeron, M.; Tran, M.; Parillaud, O.; Chtioui, M.; Robert, Y.; Vinet, E.; Enard, A.; Jacquet, J.; Van Dijk, F. High-power tunable dilute mode DFB laser with low RIN and narrow linewidth. IEEE Photonics Technol. Lett. 2012, 25, 7–10. [Google Scholar] [CrossRef]
  292. Tromborg, B.; Osmundsen, J.; Olesen, H. Stability analysis for a semiconductor laser in an external cavity. IEEE J. Quantum Electron. 1984, 20, 1023–1032. [Google Scholar] [CrossRef]
  293. Fang, Z.; Cai, H.; Chen, G.; Qu, R. Single Frequency Semiconductor Lasers; Springer: Berlin/Heidelberg, Germany, 2017. [Google Scholar]
  294. Puckett, M.W.; Wang, J.; Bose, D.; Brodnik, G.M.; Wu, J.; Nelson, K.; Blumenthal, D.J. Silicon nitride ring resonators with 0.123 dB/m loss and Q-factors of 216 million for nonlinear optical applications. In The European Conference on Lasers and Electro-Optics; Optical Society of America: Bellingham, WA, USA, 2019; p. ce_11_3. [Google Scholar] [CrossRef]
  295. Ferdous, F.; Miao, H.; Leaird, D.E.; Srinivasan, K.; Wang, J.; Chen, L.; Varghese, L.T.; Weiner, A.M. Spectral line-by-line pulse shaping of on-chip microresonator frequency combs. Nat. Photonics 2011, 5, 770–776. [Google Scholar] [CrossRef]
  296. Nitiss, E.; Hu, J.; Stroganov, A.; Brès, C.S. Optically reconfigurable quasi-phase-matching in silicon nitride microresonators. Nat. Photonics 2022, 16, 134–141. [Google Scholar] [CrossRef]
  297. Vyrsokinos, K.; Chatzitheocharis, D.; Papadovasilakis, M.; Ketzaki, D.; Calo, C.; Caillaud, C.; Sacchetto, D.; Zervas, M.; Reithmaier, J.; Sichkovskyi, V.; et al. MOICANA: Monolithic cointegration of QD-based InP on SiN as a versatile platform for the demonstration of high-performance and low-cost PIC transmitters. In Optical Interconnects XIX; SPIE: Bellingham, WA, USA, 2019; Volume 10924, pp. 159–169. [Google Scholar] [CrossRef]
  298. Watts, M.R.; Sun, J.; Timurdogan, E.; Hosseini, E.S.; Sorace-Agaskar, C.; Yaacobi, A.; Su, Z.; Moresco, M.; Bradley, J.; Leake, G.; et al. Very large scale integrated photonics (VLSI-P). In CLEO: Science and Innovations; Optical Society of America: Bellingham, WA, USA, 2014; p. SM4O–4. [Google Scholar] [CrossRef]
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Article Metrics

Citations

Article Access Statistics

Multiple requests from the same IP address are counted as one view.